Multiplexor de 3 a 1 Cuádruple con una GAL

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Multiplexor de 3 a 1 Cuádruple con una GAL"

Transcripción

1 Multiplexor de 3 a 1 Cuádruple con una GAL Se ilustra el diseño de un multiplexor de tres entradas a una sola salida. La multiplexión significa transmitir un número grande de entradas con información digital sobre un número menor de líneas. Se tiene que un multiplexor digital recibe señales booleanas y elige un subconjunto de ellas para enviarlas hacia la salida. El multiplexor es un circuito lógico combinatorio. El multiplexor es en esencia un selector de datos. En nuestro caso recibimos tres grupos de señales de cuatro bits cada uno de ellos. La salida es un grupo de cuatro bits. Dos entradas selectoras eligen a cual de los grupos conducir hacia la salida. La siguiente figura muestra el diagrama simplificado del diseño. Especificación del Diseño Figura 1. Diagrama a bloques del mux 12 a 4. De acuerdo con el diagrama se reciben tres entradas a, b y c, de cuatro bits cada una de ellas, las señales de selección S1 y S0 se emplean para elegir a una de ellas, según la siguiente tabla. La salida y se genera de acuerdo a la tabla: S1 S0 y 0 0 a 0 1 b 1 0 c 1 1 a XOR b Para mostrar la flexibilidad que brinda la GAL para la última combinación se envía una operación booleana que se realiza sobre el primer grupo y el segundo grupo, esto es hace la operación lógica sobre cuatro parejas de bits. En total son catorce líneas de entrada y cuatro líneas de salida. La siguiente figura exhibe al programa fuente de ABEL que implementa al diseño. Prof.. S. Saucedo 1 ICE/2007

2 MODULE mux3_1 TITLE 'Multiplexor 3 a 1 cuadruple' "entradas a0..a3 pin 1..4; b0..b3 pin 5..8; c0..c3 pin ; s1,s0 pin 13,14; selectoras " SALIDAS y0..y3 pin ; H = [1,1,1,1]; L = [0,0,0,0]; X =.x.; elige = [s1,s0]; y = [y3..y0]; a = [a3..a0]; b = [b3..b0]; c = [c3..c0]; Z = [.z.,.z.,.z.]; equations when (elige == 0) then y = a; when (elige == 1) then y = b; when (elige == 2) then y = c; when (elige == 3) then y = a$b; test_vectors ([elige, a, b, c] -> y) [ 0, 1, X, X] -> 1;"elige = 0, conduce lineas a hacia la salida [ 0, 7, H, L] -> 7; [ 0, 5, H, L] -> 5; [ 1, H, 3, H] -> 3;"elige = 1, conduce lineas b hacia la salida [ 1, 4, 9, H] -> 9; [ 1, L, 6, L] -> X; [ 2, L, L, 3] -> 3;"elige = 2, conduce lineas c hacia la salida [ 2, H, H, 6] -> X; [ 2, L, L, 11] -> 11; [ 3, H, H, 0] -> L;"elige = 3, conduce a XOR b hacia la salida [ 3, 3, 6, L] -> 5; [ 3, H, L, 0] -> H; END Figura 2 Listado del módulo que implementa el diseño. Método del Diseño La figura 3 exhibe un nuevo diagrama a bloques para el mismo multiplexor tras que los conjuntos han sido usados para agrupar a las señales. Doce de las entradas se han agrupado en los conjuntos a, b, y c. Las salidas y las líneas selectoras sea agrupan en los conjuntos, y y elige, respectivamente. Tal agrupamiento de señales en conjuntos toma lugar en la sección de declaraciones del file fuente, listado en la figura 2. Cuando los Prof.. S. Saucedo 2 ICE/2007

3 conjuntos han sido ya declarados, la especificación del diseño se hace con las siguientes cuatro ecuaciones que usan postulados WHEN-THEN. when (elige == 0) then y = a; when (elige == 1) then y = b; when (elige == 2) then y = c; when (elige == 3) then y = a$b; La expresión relacional (==) dentro del paréntesis produce una expresión que se evalúa como verdadera o falsa, dependiendo en los valores de s0 y s1. Vectores de Prueba Figura 3. Diagrama a bloques más desglosado del MUX. Los vectores de prueba para este diseño se especificaron en términos de los conjuntos de entrada, salida, y de selección. Notar que los valores para un conjunto se pueden especificar mediante números decimales y mediante otros conjuntos. Las constantes H y L, usadas en los vectores de simulación, fueron declaradas como conjuntos de cuatro bits conteniendo sólo unos o sólo ceros. La figura 4 ilustra la distribución de patitas que hace de la GAL usada el software de desarrollo, el isplevel starter de Lattice. Prof.. S. Saucedo 3 ICE/2007

4 Figura 4 Asignación de pines para la GAL. Finalmente, se ofrece la simulación de los vectores de prueba, en la figura 5. Prof.. S. Saucedo 4 ICE/2007

5 Figura 5 Diagrama en el tiempo de la operación simulada del MUX. El multiplexaje permite ahorrar energía, pines y cableado en muchas aplicaciones. En algunos casos dos o más multiplexores se encapsulan en un paquete IC, en cuyo caso las señales selectoras son independientes entre sí, no como en nuestro caso. Problema: La GAL ya grabada con el diseño se alambra como se muestra a continuación: Figura 6 Conexionado del multiplexor. Cuál es la tabla de verdad para la salida Z en función de las variables de entrada S1, S0, D y E Prof.. S. Saucedo 5 ICE/2007

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Una Máquina de estados es un dispositivo digital que atraviesa una predeterminada secuencia de estados. Las máquinas de estados se usan típicamente para

Más detalles

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Lógicos Combinatorios Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Combinatorios Un circuito combinatorio es un arreglo de compuertas lógicas con un conjunto de entradas y salidas.

Más detalles

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada ABEL Para Síntesis Dr. Juan C. Herrera Lozada jlozada@ipn.mx Caso de Estudio 1: Diseño Combinacional ABEL permite la descripción de circuitos mediante tablas de verdad, ecuaciones y diagramas de estado.

Más detalles

Práctica 4. Sistemas Digitales. Objetivos particulares

Práctica 4. Sistemas Digitales. Objetivos particulares Práctica 4 Sistemas Digitales Objetivos particulares Durante el desarrollo de esta práctica, el estudiante aplicará un método para obtener las diferentes representaciones de los sistemas digitales binarios,

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Las compuertas lógicas son bloques que realizan las operaciones básicas de la aritmética binaria del álgebra

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language).

Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language). Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language). 1.Lenguaje Abel. 2.Estructura básica de un programa Abel. 3.Links y referencias 2.1 Encabezado. 2.2 Declaraciones. 2.3 Descripción

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

Sistemas Combinacionales

Sistemas Combinacionales Sistemas Combinacionales Tipos de Sistemas Digitales Puertas Lógicas Bloques Combinacionales Multiplexores Decodificadores/demultiplexores Decodificadores BCD a 7 segmentos Codificadores Comparadores Sumadores

Más detalles

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES Oscar Ignacio Botero Henao. CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES MULTIPLEXOR (MUX) Un Multiplexor (MUX) es un circuito combinacional al que entran varios canales de datos, y sólo salen los datos del

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

El número decimal 57, en formato binario es igual a:

El número decimal 57, en formato binario es igual a: CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. NÚMEROS BINARIOS EJEMPLO En el cuadro anterior, está la representación de los números binarios en formato

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

I UNIDAD ÁLGEBRA BOOLEANA Y COMPUERTAS LÓGICAS

I UNIDAD ÁLGEBRA BOOLEANA Y COMPUERTAS LÓGICAS I UNIDAD ÁLGEBRA BOOLEANA Y COMPUERTAS LÓGICAS 1.1 Electrónica Digital Obviamente es una ciencia que estudia las señales eléctricas, pero en este caso son señales discretas, es decir, están bien identificadas,

Más detalles

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y XOR y Con las puertas básicas podemos implementar cualquier función booleana. Sin embargo existen

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

Lenguaje ABEL. Introducción. Estructura de un archivo fuente ABEL. Estructura de un archivo fuente ABEL

Lenguaje ABEL. Introducción. Estructura de un archivo fuente ABEL. Estructura de un archivo fuente ABEL Lenguaje ABL Introducción structura de un archivo fuente ABL Formato Declaraciones Operadores Conjuntos Descripción lógica cuaciones Tablas Diagramas de estados Vectores de test Introducción ABL significa

Más detalles

Tema: USO DE MULTIPLEXORES Y DEMULTIPLEXORES.

Tema: USO DE MULTIPLEXORES Y DEMULTIPLEXORES. Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas digitales Lugar de Ejecución: Fundamentos Generales. Tema: USO DE MULTIPLEXORES Y DEMULTIPLEXORES. Objetivo general Aplicar dispositivos MSI

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales.

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales. Otros circuitos digitales En esta unidad aprenderás: El funcionamiento de los codificadores y decodificadores Multiplexor y Demultiplexor Convertidor Digital-Análogo y Análogo-Digital UNIDAD 4 Actividad

Más detalles

Graciela Documentation

Graciela Documentation Graciela Documentation Publicación 1.0 Graciela 03 de February de 2017 Índice general 1. Instalación 3 1.1. Linux................................................... 3 1.2. macos..................................................

Más detalles

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas Sistemas Digitales TRABAJO PRÁCTICO Nº 3 Expresiones booleanas, tablas de verdad y compuertas lógicas Ejercicio Nº 1: Dadas las siguientes funciones: F ( A, B, C, D) C.( D A) AC..( B D 1 ) F2 ( A, B, C,

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL 11 1) Cuántas funciones de conmutación diferentes se pueden definir con 3 variables binarias? a) 8. b) 9. c) depende del problema en concreto. d) 256. 2)

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

Implementación de una unidad procesadora de cuatro bits, como material didáctico

Implementación de una unidad procesadora de cuatro bits, como material didáctico Implementación de una unidad procesadora de cuatro bits, como material didáctico Ángel Eduardo Gasca Herrera Universidad Veracruzana Agasca3@hotmail.com Jacinto Enrique Pretelin Canela Universidad Veracruzana

Más detalles

SENA; Conocimiento para todos los Colombianos

SENA; Conocimiento para todos los Colombianos MAPA DE KARNAUGH Es una herramienta gráfica que se usa para simplificar una ecuación lógica, o para convertir una tabla de verdad a su circuito lógico correspondiente mediante un proceso simple y ordenado.

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN I. P. N. ESIME Unidad Culhuacan INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO

Más detalles

Circuitos Combinatorios

Circuitos Combinatorios UNIDAD 5 Circuitos Combinatorios Introducción a la unidad Los circuitos combinatorios o circuitos combinacionales transforman un conjunto de entradas en un conjunto de salidas de acuerdo con una o más

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS LABORATORIO # 6 Realización: 26-05-2011 CIRCUITOS ARITMÉTICOS 1. OBJETIVOS Comprender los circuitos aritméticos dentro de la lógica binaria Utilizar sumadores totales de cuatro bits dentro de un Circuito

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

Circuitos combinacionales. Funciones integradas

Circuitos combinacionales. Funciones integradas Circuitos combinacionales. Funciones integradas Salvador Marcos González salvador.marcos@uah.es Funciones integradas Introducción La introducción en el diseño de sistemas digitales de circuitos MSI (media

Más detalles

Simplificación de funciones lógicas utilizando Karnaugh

Simplificación de funciones lógicas utilizando Karnaugh Simplificación de funciones lógicas utilizando Página Objetivos de la simplificación Objetivo: minimizar el costo de la función lógica Medición del costo y otras consideraciones Número de compuertas Número

Más detalles

Operaciones Booleanas y Compuertas Básicas

Operaciones Booleanas y Compuertas Básicas Álgebra de Boole El álgebra booleana es la teoría matemática que se aplica en la lógica combinatoria. Las variables booleanas son símbolos utilizados para representar magnitudes lógicas y pueden tener

Más detalles

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select. Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan

Más detalles

INDICE. XVII 0 Introducción 0.1. Historia de la computación

INDICE. XVII 0 Introducción 0.1. Historia de la computación INDICE Prefacio XVII 0 Introducción 0.1. Historia de la computación 1 0.1.1. Los inicios: computadoras mecánicas 0.1.2. Primeras computadoras electrónicas 0.1.3. Las primeras cuatro generaciones de computadoras

Más detalles

ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN CARRERA DE INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN CARRERA DE INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN CARRERA DE INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN APUNTES DE MICROPROCESADORES

Más detalles

Taller #1: Logisim PARTE 1:

Taller #1: Logisim PARTE 1: Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Taller #1: Logisim Logisim es una herramienta de libre distribución para diseñar

Más detalles

Organización del Computador I. David Alejandro González Márquez

Organización del Computador I. David Alejandro González Márquez Lógica Digital - Circuitos Secuenciales Organización del Computador I David Alejandro González Márquez Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires 11.02.2011

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN . INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Electrónica Digital: Sistemas Numéricos y Algebra de Boole

Electrónica Digital: Sistemas Numéricos y Algebra de Boole Electrónica Digital: Sistemas Numéricos y Algebra de Boole Profesor: Ing. Andrés Felipe Suárez Sánchez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: andres.suarez@correounivalle.edu.co

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

Módulos combinacionales básicos. Tema 7

Módulos combinacionales básicos. Tema 7 Módulos combinacionales básicos Tema 7 Qué sabrás al final del capítulo? Funcionamiento de los módulos combinacionales básicos: Codificadores Decodificadores Multiplexores Demultiplexores Redes de módulos

Más detalles

George Boole. Álgebra Booleana. Álgebra de Conmutación. Circuitos Digitales EC1723

George Boole. Álgebra Booleana. Álgebra de Conmutación. Circuitos Digitales EC1723 George oole Circuitos Digitales EC723 Matemático británico (85-864). utodidacta y sin título universitario, en 849 fue nombrado Profesor de Matemáticas en el Queen's College en Irlanda. En su libro Laws

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2009 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

Unidad Didáctica 6 Electrónica Digital 4º ESO

Unidad Didáctica 6 Electrónica Digital 4º ESO Unidad Didáctica 6 Electrónica Digital 4º ESO ELECTRÓNICA DIGITAL SEÑALES ELECTRICAS LÓGICA BINARIA CIRCUITOS INTEGRADOS DIGITALES DISEÑO DE CTOS. COMBINACIONALES Y CTOS. IMPRESOS TIPOS SISTEMAS DE NUMERACIÓN

Más detalles

Práctica 6 Diseño Combinacional

Práctica 6 Diseño Combinacional Práctica 6 Diseño Combinacional Objetivos particulares Durante el desarrollo de esta práctica el estudiante diseñara un Sistema Combinacional utlizando como guia un metodo propuesto y lo implemetara en

Más detalles

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 1: Introducción al lenguaje VHDL S1 1 AREAS DE APLICACION DE VHDL Síntesis i1 suma Documentación Diagnosis de Fallos i1 i2 - Circuito semisumador Componentes: puerta AND puerta OR puerta XOR......

Más detalles

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación:

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación: L. Nº: 2 HORRIO: H-441 FECH: 22/09/2005 El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación: De las 4 entradas, sólo se deja pasar una de ellas, la decisión de

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez 4.5. Análisis de circuitos combinacionales

Más detalles

FUNDAMENTOS DE COMPUTADORES EJERCICIOS U1: Álgebra de Boole y Diseño Lógico

FUNDAMENTOS DE COMPUTADORES EJERCICIOS U1: Álgebra de Boole y Diseño Lógico U1_1. Realizar las siguientes operaciones (verificar las respuestas en decimal) a) onvertir a binario natural los números decimales 321, 1462, 205, 1023, 1024, 135, 45 y 967 b) onvertir a decimal los números

Más detalles

Tema 3: Operaciones aritméticas y lógicas

Tema 3: Operaciones aritméticas y lógicas Tema 3: Operaciones aritméticas y lógicas S Suma-resta en base dos S Operaciones lógicas: OR, AND, XOR y NOT S Operaciones de desplazamiento S Suma-resta en los diferentes sistemas de representación de

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

Circuitos combinacionales

Circuitos combinacionales Circuitos combinacionales Luis ntrena, Celia López, Mario García, nrique an Millán Universidad Carlos III de Madrid Circuitos combinacionales y secuenciales l Combinacionales: alida depende sólo de la

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Patricia Borensztejn

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Patricia Borensztejn Sistemas Combinacionales y mas Verilog Diseño de Sistemas con FPGA Patricia Borensztejn Verilog para síntesis Circuitos Combinacionales a nivel RT Tipos de Datos en Verilog Dos tipos de datos: Net: representan

Más detalles

Tema 1: Circuitos Combinacionales

Tema 1: Circuitos Combinacionales Tema : Circuitos Combinacionales Contenidos. Introducción. Aritmética. Álgebra de Boole Señales Sistemas. Introducción Entrada Ecitación Sistema Salida Respuesta Un sistema es un conjunto de partes o elementos

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

Lógica Digital. Circuitos Secuenciales. Maximiliano Urso. Orga I

Lógica Digital. Circuitos Secuenciales. Maximiliano Urso. Orga I Lógica Digital Circuitos ecuenciales Maximiliano Urso Orga I Ejercicio 1 ealizar un diagrama de tiempos para el siguiente circuito. Asuma que el retardo de las compuertas es 15 ns. Inicialmente en, y se

Más detalles

Arquitectura de Computadores I - Tutorial sobre Max+Plus II

Arquitectura de Computadores I - Tutorial sobre Max+Plus II Arquitectura de Computadores I - Tutorial sobre Max+Plus II Este documento pretende introducir el paquete de software Max+Plus de Altera Corporation (www.altera.com) mediante una serie de pasos guiados.

Más detalles

Sistemas Digitales. Circuitos Codificadores

Sistemas Digitales. Circuitos Codificadores Sistemas Digitales Circuitos Codificadores Se definen como tal, a circuitos combinacionales que tienen 2 n entradas y n salidas, aunque en algunos casos prácticos, suelen tener menos entradas. A cada una

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

4. Operadores Operador asignación

4. Operadores Operador asignación Programación orientada a objetos con Java 43 4. Operadores Objetivos: a) Describir los operadores (aritméticos, incrementales, de relación, lógicos y de asignación) y los tipos de dato primitivos sobre

Más detalles

Álgebra Booleana y Simplificación Lógica

Álgebra Booleana y Simplificación Lógica Álgebra Booleana y Simplificación Lógica M. en C. Erika Vilches Parte 2 Simplificación utilizando Álgebra Booleana Simplificar la expresión AB + A(B + C) + B(B + C) 1. Aplicar la ley distributiva al segundo

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario. PUERTAS LOGICAS Son bloques de construcción básica de los sistemas digitales; operan con números binarios, por lo que se denominan puertas lógicas binarias. En los circuitos digitales todos los voltajes,

Más detalles

Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole

Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole Índice Algebra de Boole. Definición. Operaciones lógicas: OR, AND, XOR y NOT Puertas lógicas Algebra de Boole Postulados Teoremas

Más detalles

SUMADORES Y COMPARADORES

SUMADORES Y COMPARADORES Universidad Nacional de Quilmes Diplomatura en Ciencia y Tecnología Circuito semisumador de un bit. TÉCNICAS DIGITALES Los circuitos sumadores entregan 2 datos: suma (S) y acarreo (A), y, este circuito

Más detalles

PRÁCTICA 1: SISTEMAS COMBINACIONALES

PRÁCTICA 1: SISTEMAS COMBINACIONALES DEPARTAMENTO DE AUTOMÁTICA UAH GRADO fdsfdsdfsdfsdf EN INGENIERÍA INFORMÁTICA OBJETIVOS Iniciar y familiarizar al alumno con su puesto de trabajo en el laboratorio y con el manejo de la instrumentación

Más detalles

TEMA 5. ELECTRÓNICA DIGITAL

TEMA 5. ELECTRÓNICA DIGITAL TEMA 5. ELECTRÓNICA DIGITAL 1. INTRODUCCIÓN Los ordenadores están compuestos de elementos electrónicos cuyas señales, en principio, son analógicas. Pero las señales que entiende el ordenador son digitales.

Más detalles

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA UTFSM Noviembre 2003 APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA 1. INTRODUCCIÓN En este documento se encuentra toda la información

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA Programación en Pascal Capítulo 2. Acciones Primitivas.

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA Programación en Pascal Capítulo 2. Acciones Primitivas. 2. ACCIONES PRIMITIVAS. En los lenguajes de alto nivel existen cuatro instrucciones primitivas que reflejan lo que un computador puede realizar (en este nivel de abstracción). Se describirán las instrucciones

Más detalles

SIMPLIFICACIÓN DE FUNCIONES LÓGICAS

SIMPLIFICACIÓN DE FUNCIONES LÓGICAS LABORATORIO # 4 Realización: SIMPLIFICACIÓN DE FUNCIONES LÓGICAS 1. OBJETIVOS Los objetivos de este laboratorio es que Usted, aprenda a: Simplificar funciones utilizando mapas de Karnaugh Utilizar compuertas

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

Tipos de datos en VHDL

Tipos de datos en VHDL Tipos de datos en VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

ALGORÍTMICA. Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid.

ALGORÍTMICA. Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid. ALGORÍTMICA Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid. Indíce Algoritmo Elementos de un algoritmo: Variables, Constantes, Expresiones Datos: Definición y

Más detalles

Modelo de examen tipo resuelto 1

Modelo de examen tipo resuelto 1 Modelo de examen tipo resuelto. Diseñar un sistema combinacional que tenga cinco entradas y dos salidas y que actúe de la siguiente forma: las cinco entradas (x 4 x 3 x 2 x x 0 ) representan una palabra

Más detalles

Síntesis arquitectónica y de alto nivel

Síntesis arquitectónica y de alto nivel Síntesis arquitectónica y de alto nivel Módulo 1. Concepto y fases de la Síntesis de Alto Nivel 1 Diseño de circuitos: la complejidad Tratamiento de problemas de complejidad creciente Rápido desarrollo

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

Tema 5: Álgebra de Boole Funciones LógicasL

Tema 5: Álgebra de Boole Funciones LógicasL Tema 5: Álgebra de Boole Funciones LógicasL Ingeniería Informática Universidad Autónoma de Madrid 1 Álgebra de Boole.. Funciones LógicasL O B J E T I V O S Conocer el Álgebra de Boole, sus teoremas y las

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

NOT. Ejemplo: Circuito C1

NOT. Ejemplo: Circuito C1 Métodos de diseño de circuitos digitales Sistemas combinacionales En un circuito combinacional los valores de las salidas dependen únicamente de los valores que tienen las entradas en el presente. Se construen

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

Introducción a la programación de PLD s

Introducción a la programación de PLD s Introducción a la programación de PLD s Sira E. Palazuelos Cagigas Ernesto Martín Gorostiza Departamento de Electrónica Universidad de Alcalá PALCE22V10 2 1 Proceso de diseño Problema de Diseño Especificación

Más detalles

Lección 2 Introducción al lenguaje C

Lección 2 Introducción al lenguaje C Lección Introducción al lenguaje C Decimal Binario Hexadecimal A B C D E F Octal Equivalencia entre decimal, binario, hexadecimal y octal. Código ASCII (American Standard Code for Information Interchange)

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 5 NOMBRE

Más detalles

Universidad Autónoma del Estado de México Facultad de Medicina

Universidad Autónoma del Estado de México Facultad de Medicina Universidad Autónoma del Estado de México Facultad de Medicina Licenciatura en Bioingeniería Médica Unidad de Aprendizaje: Algoritmos y programación básica Unidad 3: Estructuras de control de flujo en

Más detalles