Sistemas Digitales. Circuitos Codificadores

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Sistemas Digitales. Circuitos Codificadores"

Transcripción

1 Sistemas Digitales Circuitos Codificadores Se definen como tal, a circuitos combinacionales que tienen 2 n entradas y n salidas, aunque en algunos casos prácticos, suelen tener menos entradas. A cada una de las entradas se asigna un número y la activación de ellas, produce en la salida una combinación binaria correspondiente a dicho número.puede estar expresado en decimal u octal. En otras palabras, un circuito codificador es un circuito combinacional conversor de sistemas numéricos a binario. Existen también los que se denominan Codificadores ASCII, usados como interfaz entre el teclado y el procesador en un sistema computacional u otro. Cada presión sobre una tecla produce un número binario en código ASCII. Bajo esta descripción, los codificadores son interfaces entre teclados y procesadores. Otra aplicación se encuentra en las calculadoras. Aquí l codificador recibe la información en decimal y la convierte a binario. Por ejemplo, si la tecla presionada es 4, la salida del circuito debe ser 100. (Fig-2) Uno de estos circuitos lo representa el codificador Decimal / BCD que cuenta con diez entradas y cuatro salidas, (Fig-1) Para este caso suele no indicarse en dígito cero pues en el proceso la tecla no produce ningún efecto. E 0 N 1 T 2 S o S R 3 A A 4 S 1 L D 5 I A 6 S 2 D S 7 A 8 S 3 S 9 Figura 1: Esquema de un codificador CODIFICADOR BCD Figura 2: Aplicación de un codificador. 11

2 Estos circuitos es posible implementarlos con una matriz de diodos. De la misma forma, también, se pueden realizar con compuertas lógicas. Uno de ellos se muestra en la figura 3 en la cual se emplean compuertas OR. Las entradas se identifican con la letra E y las salidas con la letra S. Los codificadores decimal/ BCD son los únicos. Existen de acuerdo a necesidad, pero todos ellos entregan a su salida un código binario. E 9 E 8 E 7 E 6 E 5 E 4 E 3 E 2 E 1 E 0 E 1 + E 3 + E 5 + E 7 + E 9 E 2 + E 3 + E 6 + E 7 E 4 + E 5 + E 6 + E 7 E 8 + E 9 Figura 3: Codificador decimal/ BCD usando compuertas OR. Codificación sin Prioridad Las estructuras lógicas vistas corresponden a los llamados codificadores sin prioridad, en los cuales solo puede haber activada una entrada a la vez. Si ello no ocurre la salida binaria es errónea pues los estados de la salida se superponen. 12

3 Codificación con Prioridad A diferencia del sistema anterior, en este esquema es posible activar más de una entrada simultáneamente. La combinación binaria de salida corresponde a la expresión de entrada de mayor peso o más significativa. Por ejemplo, si se activan al mismo tiempo los dígitos decimales 5 y 8, la combinación de salida corresponde (1000) 2 Codificador DECIMAL / BCD Según lo expuesto, este es un circuito que debe poseer 10 entradas y 4 salidas. Las líneas de entrada corresponden a los diez dígitos que conforman el sistema decimal y las salidas, a los cuatro bits necesarios para conformar el número decimal de mayor peso, nueve (9). Las líneas de entrada se identifican como I (in) o bien como E (entrada) y las salidas como O ( out ). Es común que en la representación esquemática de los circuitos integrados, (CI), los terminales correspondientes a él, (patillas) se identifiquen fuera del esquema y los correspondientes a las entradas, dentro de é Por otro lado, las entradas inversoras (negadas o complementadas) se representan en los esquemas, generalmente, por un círculo, aunque algunos fabricantes, en menor grado, usan un triángulo para ello. Un ejemplo de codificador de este tipo, es el CI 74147, disponible en TTL y CMOS. Se activa con lógica negativa. Es decir, por nivel de excitación lógico 0. En la figura cuatro (4) se muestra la simbología normalizada 11 I 1 O I 2 O 1 I 3 I 4 O 2 I 5 I 6 O 3 I I 8 I 9 NC V cc GND 16 8 Fig.-4: Esquema CI

4 I 9 I 8 I 7 I 6 I 5 I 4 I 3 I 2 I 1 I 0 N O 3 O 2 O 1 O Fig.-5: Tabla de Verdad del Codificador Decimal / BCD CI Codificador BCD / Decimal Similar al esquema anterior, este realiza la transformación de código binario BCD a código decimal. En base a ello, se desprende que cuenta con cuatro líneas de entrada, I 0 a I 3 y diez líneas de salida, de O 0 a O 9. A nivel práctico un CI que realiza esta función es el CI En la figura 6 se muestra su tabla de verdad y en la figura 7, el esquema representativo de la distribución de las líneas de entrada y salida, respectivamente. En las líneas de entrada se aplica una combinación binaria en BCD y en las líneas de salida se obtiene la combinación decimal correspondiente al binario aplicado a las entradas.la activación del sistema se produce por nivel lógico 0 en las entradas. Decimal I 3 I 2 I 1 I Fig.-6: Tabla de Verdad del Codificador BCD / Decimal

5 A B C D Vcc 7442 GND

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN . INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos INDICE Prólogo XI 1. Operación del Computador 1 1.1. Calculadoras y Computadores 2 1.2. Computadores digitales electrónicos 5 1.3. Aplicación de los computadores a la solución de problemas 7 1.4. Aplicaciones

Más detalles

El número decimal 57, en formato binario es igual a:

El número decimal 57, en formato binario es igual a: CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. NÚMEROS BINARIOS EJEMPLO En el cuadro anterior, está la representación de los números binarios en formato

Más detalles

Práctica 2 Comprobación de códigos binarios detectores y correctores de errores

Práctica 2 Comprobación de códigos binarios detectores y correctores de errores Práctica 2 Comprobación de códigos binarios detectores y correctores de errores Descripción de la práctica: -La práctica consiste en la simulación de una transmisión de palabras BCD enviadas con código

Más detalles

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción INDICE Prologo XIII Introducción XV 1. Introducción a la técnica digital 1.1. Introducción 1 1.2. Señales analógicas y digitales 1.2.1. Señales analógicas 1.2.2. Señales digitales 2 1.3. Procesos digitales

Más detalles

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1, Segundo Semestre

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 6 NOMBRE

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES LABORATORIO No. 4 Fundamentos de electrónica Compuertas Lógicas I. OBJETIVOS. Conocer el

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

LABORATORIO N 04: Compuertas Básicas, Universales y Especiales

LABORATORIO N 04: Compuertas Básicas, Universales y Especiales LORTORIO N 04: Compuertas ásicas, Universales y Especiales 1. OJETIVOS. - Verificar experimentalmente la operación de las compuertas digitales básicas: ND, OR y NOT. - Verificar experimentalmente la operación

Más detalles

Electrónica Digital - Guión

Electrónica Digital - Guión Electrónica Digital - Guión 1. Introducción. 2. El álgebra de Boole. 3. Propiedades del álgebra de Boole. 4. Concepto de Bit y Byte. 5. Conversión del sistema decimal en binario y viceversa. 6. Planteamiento

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

INGENIERIA ELECTRÓNICA

INGENIERIA ELECTRÓNICA COM PUT DORES INGENIERI ELECTRÓNIC SIGNTUR: ELECTRÓNIC DIGITL I Profesor: LUIS CRLOS LSPRILL TOVR Facultad de Ingeniería Electrónica CIRCUITOS INTEGRDOS TRNSISTORES DIODOS FLYCKS Laboratorio * Ingenieria

Más detalles

Oscar Ignacio Botero H. Codificadores y Decodificadores. CODIFICADORES Y DECODIFICADORES

Oscar Ignacio Botero H. Codificadores y Decodificadores. CODIFICADORES Y DECODIFICADORES Oscar Ignacio otero H. ODIFIDORES Y DEODIFIDORES La codificación y decodificación es el proceso de asignar a cada entrada una combinación única de bits. ODIFIDOR (ENODER) Son circuitos combinacionales

Más detalles

PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8

PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8 PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8 NOMBRE DE LA PRACTICA: Sumador y Restador. COMPETENCIA DE LA PRÁCTICA: El alumno comprobará el funcionamiento del diseño de un semisumador, un sumador completo,

Más detalles

Organización de Computadoras. Clase 2

Organización de Computadoras. Clase 2 Organización de Computadoras Clase 2 Temas de Clase Representación de datos Números con signo Operaciones aritméticas Banderas de condición Representación de datos alfanuméricos Notas de Clase 2 2 Representación

Más detalles

Universidad de Alcalá

Universidad de Alcalá Universidad de Alcalá Departamento de Electrónica CONVERSORES ANALÓGICO-DIGITALES Y DIGITALES-ANALÓGICOS Tecnología de Computadores Ingeniería en Informática Sira Palazuelos Manuel Ureña Mayo 2009 Índice

Más detalles

5.2. Sistemas de codificación en binario

5.2. Sistemas de codificación en binario 5.2. Sistemas de codificación en binario 5.2.1. Sistemas numéricos posicionales [ Wakerly 2.1 pág. 26] 5.2.2. Números octales y hexadecimales [ Wakerly 2.2 pág. 27] 5.2.3. Conversión general de sistemas

Más detalles

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR Cód. 25243 Laboratorio electrónico Nº 5 PUERTAS LOGICAS Objetivo Aplicar los conocimientos de puertas lógicas Familiarizarse con los circuitos integrados Objetivo específico Conectar los circuitos integrados

Más detalles

UT01 03 Sistemas de codificación

UT01 03 Sistemas de codificación UT01 03 Sistemas de codificación Cap 1 Introducción a los Sistemas Informáticos IES Barajas - JRGRL Tipo de software y tipos de datos Tipos de software de un SI Aplicaciones (no forman parte del SO) Datos

Más detalles

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores

Más detalles

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario. PUERTAS LOGICAS Son bloques de construcción básica de los sistemas digitales; operan con números binarios, por lo que se denominan puertas lógicas binarias. En los circuitos digitales todos los voltajes,

Más detalles

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y XOR y Con las puertas básicas podemos implementar cualquier función booleana. Sin embargo existen

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

Principios básicos de PLC y familia DirectLogic

Principios básicos de PLC y familia DirectLogic Principios básicos de PLC y familia DirectLogic Introducción El Controlador Lógico Programable (PLC) es una tecnología muy difundida para hacer automatización de procesos secuenciales, surgió como solución

Más detalles

Tema 3. 2 Sistemas Combinacionales

Tema 3. 2 Sistemas Combinacionales Tema 3. 2 Sistemas Combinacionales Índice Circuitos combinacionales: concepto, análisis y síntesis. Métodos de simplificación de funciones lógicas. Estructuras combinacionales básicas Multiplexores Demultiplexores

Más detalles

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma.

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma. KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS Luces secuenciales con efecto de scanner o simulador de alarma. Tabla de Contenido DEFINICIÓN FUNCIONAMIENTO LISTA DE PARTES ENSAMBLE REFERENCIAS DEFINICIÓN

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

Álgebra de Boole. Valparaíso, 1 er Semestre Prof. Rodrigo Araya E.

Álgebra de Boole. Valparaíso, 1 er Semestre Prof. Rodrigo Araya E. Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 1 2 3 4 Contenido En 1815 George Boole propuso una herramienta

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

CIRCUITOS LOGICOS DE TRES ESTADOS.

CIRCUITOS LOGICOS DE TRES ESTADOS. Página 1 CIRCUITOS LOGICOS DE TRES ESTADOS. Las señales lógicas se componen de dos estados normales, Alto y Bajo (1 o 0). Sin embargo, algunas salidas tienen un tercer estado eléctrico que no es un estado

Más detalles

Análisis de circuitos combinacionales MSI

Análisis de circuitos combinacionales MSI Análisis de circuitos combinacionales MSI En esta unidad aprenderás a: Identificar y caracterizar las funciones digitales más relevantes de carácter combinacional. Analizar funciones y circuitos combinacionales,

Más detalles

Compuertas Lógicas. M. en C. Erika Vilches

Compuertas Lógicas. M. en C. Erika Vilches Compuertas Lógicas M. en C. Erika Vilches El Inversor El inversor (circuito NOT) lleva a cabo la operación llamada inversión o complemento. Cambia un 1 por 0 y un 0 por 1 El indicador de negación es una

Más detalles

SIMPLIFICACIÓN DE FUNCIONES LÓGICAS

SIMPLIFICACIÓN DE FUNCIONES LÓGICAS LABORATORIO # 4 Realización: SIMPLIFICACIÓN DE FUNCIONES LÓGICAS 1. OBJETIVOS Los objetivos de este laboratorio es que Usted, aprenda a: Simplificar funciones utilizando mapas de Karnaugh Utilizar compuertas

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I CAPÍTULO III ARITMÉTICA Y CODIFICACIÓN

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I CAPÍTULO III ARITMÉTICA Y CODIFICACIÓN ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I CAPÍTULO III ARITMÉTICA Y CODIFICACIÓN TEMA 3. Aritmética y codificación 3.1 Aritmética binaria 3.2 Formatos de los números y su representación 3.3 Definiciones

Más detalles

Práctica 4: Universalidad de las compuertas NAND y NOR

Práctica 4: Universalidad de las compuertas NAND y NOR 1121061 Laboratorio de Diseño Lógico 1 Práctica 4: Universalidad de las compuertas NND y NOR Nombre: Fecha: Matrícula: Objetivos 1. Demostrar la universalidad de las compuertas NND y NOR. 2. Construir

Más detalles

Naturaleza binaria. Conversión decimal a binario

Naturaleza binaria. Conversión decimal a binario Naturaleza binaria En los circuitos digitales sólo hay 2 voltajes. Esto significa que al utilizar 2 estados lógicos se puede asociar cada uno con un nivel de tensión, así se puede codificar cualquier número,

Más detalles

2, Detallar los diversos tipos de Flip Flop

2, Detallar los diversos tipos de Flip Flop Profesor/a(s) Ramon Flores Pino Nivel o Curso/s 4º D Unidad/Sub Unidad 3.- Circuitos de lógica Secuencial GUÍA Nº Contenidos 1.- Temporizador 555 2. Flip Flops, Contadores Aprendizajes Esperados 1 Explicar

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Aritmética de Enteros

Aritmética de Enteros Aritmética de Enteros La aritmética de los computadores difiere de la aritmética usada por nosotros. La diferencia más importante es que los computadores realizan operaciones con números cuya precisión

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

&217$'25(6',*,7$/(6. Figura 1.

&217$'25(6',*,7$/(6. Figura 1. &217$'25(6',*,7$/(6 En casi todos los tipos de equipo digital se encuentran flip-flops programados o conectados como contadores, usándose no solamente como contadores sino como equipo para dar la secuencia

Más detalles

PROGRAMA INSTRUCCIONAL CIRCUITOS DIGITALES

PROGRAMA INSTRUCCIONAL CIRCUITOS DIGITALES UNIVERSIDAD FERMÍN TORO VICE RECTORADO ACADÉMICO FACULTAD DE INGENIERÍA ESCUELA DE COMPUTACIÓN PROGRAMA INSTRUCCIONAL CIRCUITOS DIGITALES CÓDIGO ASIGNADO SEMESTRE U. C DENSIDAD HORARIA H.T H.P/H.L H.A

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

Unidad 3: Control y programación de sistemas automáticos Tema 1: Sistemas de control: introducción

Unidad 3: Control y programación de sistemas automáticos Tema 1: Sistemas de control: introducción El control analógico es aquel en el que las variables a controlar y las que se procesan en el sistema se presentan de forma continua (analógica), de modo que las relaciones que aparecen entre las señales

Más detalles

Diapositiva 1. Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas.

Diapositiva 1. Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas. Diapositiva 1 Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas. Diapositiva 2 Diapositiva 3 Diapositiva 4 En los circuitos digitales la información

Más detalles

Sistemas Numéricos y Códigos Binarios

Sistemas Numéricos y Códigos Binarios Sistemas Numéricos y Códigos Binarios Marcelo Guarini Departamento de Ingeniería Eléctrica, 5 de Abril, 5 Sistemas Numéricos en Cualquier Base En el sistema decimal, cualquier número puede representarse

Más detalles

Matemáticas Básicas para Computación

Matemáticas Básicas para Computación Matemáticas Básicas para Computación MATEMÁTICAS BÁSICAS PARA COMPUTACIÓN 1 Sesión No. 7 Nombre: Compuertas Lógicas Objetivo Al término de la sesión el participante aplicará los conceptos de compuertas

Más detalles

Comprobar la funcionalidad de un lista determinada de circuitos integrados existentes en el laboratorio de digitales, a través de microcontroladores,

Comprobar la funcionalidad de un lista determinada de circuitos integrados existentes en el laboratorio de digitales, a través de microcontroladores, Comprobar la funcionalidad de un lista determinada de circuitos integrados existentes en el laboratorio de digitales, a través de microcontroladores, una pantalla GLCD para presentación de menús y resultados

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

TEMA 5. ELECTRÓNICA DIGITAL

TEMA 5. ELECTRÓNICA DIGITAL TEMA 5. ELECTRÓNICA DIGITAL 1. INTRODUCCIÓN Los ordenadores están compuestos de elementos electrónicos cuyas señales, en principio, son analógicas. Pero las señales que entiende el ordenador son digitales.

Más detalles

Centro Asociado Palma de Mallorca. Tutor: Antonio Rivero Cuesta

Centro Asociado Palma de Mallorca. Tutor: Antonio Rivero Cuesta Centro Asociado Palma de Mallorca Arquitectura de Ordenadores Tutor: Antonio Rivero Cuesta Unidad Didáctica 1 Representación de la Información y Funciones Lógicas Tema 1 Representación de la Información

Más detalles

EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014

EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014 EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014 CONTENIDO ELECTRÓNICA DIGITAL SISTEMA DE REPRESENTACIÓN TABLA DE CONVERSIÓN EJERCICIOS

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

Introducción al PLC Simatic Siemens S7-200.

Introducción al PLC Simatic Siemens S7-200. Francisco J. Jiménez Montero. Málaga, Febrero de 2007. Revisado: Noviembre de 2010. Ciclo Formativo de Grado Medio de Instalaciones Eléctricas y Automáticas. Introducción al PLC Simatic Siemens S7-200.

Más detalles

TEMA III: OPERACIONES CON LOS DATOS

TEMA III: OPERACIONES CON LOS DATOS CUESTIONES A TRATAR: Cual es la función de la unidad operativa? Es necesaria? Qué tipos de circuitos implementan la unidad operativa? Unidad operativa frente a ALU Qué es una operación de múltiple precisión?

Más detalles

Sistemas de Numeración. Códigos

Sistemas de Numeración. Códigos Electrónica Básica 1 Sistemas de Numeración. Códigos Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC SISTEMAS DE NUMERACIÓN 2 Sistemas de numeración: Intuitivamente

Más detalles

Circuitos lógicos combinacionales. Tema 6

Circuitos lógicos combinacionales. Tema 6 Circuitos lógicos combinacionales Tema 6 Qué sabrás al final del capítulo? Implementar funciones con dos niveles de puertas lógicas AND/OR OR/AND NAND NOR Analizar sistemas combinacionales, obteniendo

Más detalles

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16. CONTADORES Son circuitos digitales lógicos secuenciales de salida binaria o cuenta binaria, caracteristica de temporizacion y de memoria, por lo cual están constituidos a base de flip-flops. CARACTERISTICAS

Más detalles

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950).

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Código binario en Sistemas Digitales Historia Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Circuitos integrados

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI NOMBRE DE LA ASIGNATURA: ARQUITECTURA DE COMPUTADORAS FECHA DE ELABORACIÓN: ENERO 2005 ÁREA DEL PLAN DE ESTUDIOS: AS (

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA ac INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 4

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

LABORATORIO DE COMPUTADORAS

LABORATORIO DE COMPUTADORAS TP 1 LABORATORIO DE COMPUTADORAS Facultad de Ingeniería. UNJu Tema: Sistemas Numéricos y Diseño Combinacional y Secuencial Apellido y Nombre: LU: Carrera: Fecha: 2013 EJEMPLOS Estándar IEEE 754 El estándar

Más detalles

Sistemas Operativos. IES Pedro Espinosa. Relación de ejercicios Tema 1: Conceptos básicos de informática

Sistemas Operativos. IES Pedro Espinosa. Relación de ejercicios Tema 1: Conceptos básicos de informática Relación de ejercicios Tema 1: Conceptos básicos de informática 1. Cuál de los números binarios siguientes es mayor: 0101110 ó 0110110? 2. Investiga en alguna enciclopedia informática los orígenes de la

Más detalles

SISTEMAS DE NUMERACIÓN CON REPRESENTACIÓN POSICIONAL DE LOS NÚMEROS

SISTEMAS DE NUMERACIÓN CON REPRESENTACIÓN POSICIONAL DE LOS NÚMEROS SISTEMAS DE NUMERACIÓN CON REPRESENTACIÓN POSICIONAL DE LOS NÚMEROS Se define un sistema de numeración: como el conjunto de símbolos y reglas que se utilizan para la representación de cantidades. En ellos

Más detalles

TALLER DE DISEÑO DE LÓGICA COMBINACIONAL Y SECUENCIAL ELECTRÓNICA DIGITAL Y MICROCONTROLADORES 2010-II

TALLER DE DISEÑO DE LÓGICA COMBINACIONAL Y SECUENCIAL ELECTRÓNICA DIGITAL Y MICROCONTROLADORES 2010-II TALLE DE DIEÑO DE LÓGICA COMBINACIONAL Y ECUENCIAL ELECTÓNICA DIGITAL Y MICOCONTOLADOE 00-II. Diseñar un restador completo de un bit.. Diseñar un restador de cuatro bits empleando cuatro restadores de

Más detalles

S i s t e m a s A n a l ó g i c o s y D i g i t a l e s

S i s t e m a s A n a l ó g i c o s y D i g i t a l e s Sistemas de Numeración Apunte N 1 S i s t e m a s A n a l ó g i c o s y D i g i t a l e s Los circuitos electrónicos se dividen, según la naturaleza de los valores que toman las señales o magnitudes que

Más detalles

UNIDADES DE ALMACENAMIENTO DE DATOS

UNIDADES DE ALMACENAMIENTO DE DATOS 1.2 MATÉMATICAS DE REDES 1.2.1 REPRESENTACIÓN BINARIA DE DATOS Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS. Los computadores sólo

Más detalles

UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA

UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA DEPARTAMENTO ACADEMICO ELECTRICIDAD Y ELETRONICA TEMA :

Más detalles

https://dac.escet.urjc.es/docencia/etc-sistemas/teoria-cuat1/tema2.pdf

https://dac.escet.urjc.es/docencia/etc-sistemas/teoria-cuat1/tema2.pdf 1.3 Sistemas numéricos 1.3.1. Introducción Un sistema de representación numérica es un lenguaje que consiste en: Un conjunto ordenado de símbolos (dígitos o cifras) y otro de reglas bien definidas para

Más detalles

Pontificia Universidad Católica del Ecuador

Pontificia Universidad Católica del Ecuador DATOS INFORMATIVOS: MATERIA O MÓDULO: ELECTROLOGIA Y CIRCUITOS LOGICOS CÓDIGO: CARRERA: NIVEL: INGENIERIA DE SISTEMAS SEGUNDO No. CRÉDITOS: 6 CRÉDITOS TEORÍA: 4 CRÉDITOS PRÁCTICA: 2 SEMESTRE / AÑO ACADÉMICO:

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

Tema 3. Electrónica Digital

Tema 3. Electrónica Digital Tema 3. Electrónica Digital 1.1. Definiciones Electrónica Digital La Electrónica Digital es la parte de la Electrónica que estudia los sistemas en los que en cada parte del circuito sólo puede haber dos

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

CONVERSIONES ENTRE NUMEROS DE BASE DIFERENTE

CONVERSIONES ENTRE NUMEROS DE BASE DIFERENTE CONVERSIONES ENTRE NUMEROS DE BASE DIFERENTE De Binario a Decimal: (1010,01) 2 = 2 3 +2 1 +2-2 + 2-3 = (10,375) 10 De Octal a Decimal: (630,4) 8 = 6x8 2 + 3x8 + 4x8-1 = (408,5) 10 De Decimal a Binario:

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

Práctica 5. Generadores de Señales de Reloj y Flip-flops

Práctica 5. Generadores de Señales de Reloj y Flip-flops 5.1 Objetivo Práctica 5 Generadores de Señales de Reloj y Flip-flops El alumno conocerá y comprobará el funcionamiento de dispositivos empleados en la lógica secuencial y dispositivos con memoria basados

Más detalles

PRÁCTICAS DE ELECTRÓNICA DIGITAL

PRÁCTICAS DE ELECTRÓNICA DIGITAL PRÁCTICAS DE ELECTRÓNICA DIGITAL Práctica 0: CONEXIÓN DE LOS CIRCUITOS INTEGRADOS (C.I.) 1º: Para que funcionen correctamente, han de estar conectados a una tensión de 5V. Para realizar esto, el polo (+)

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Profesor: Carlos Herrera C. I. Unidad COMPUERTAS LOGICAS Las compuertas lógicas son dispositivos que operan con aquellos estados lógicos Binarios y que funcionan igual que

Más detalles

TEMA 2. CODIFICACIÓN DE LA INFORMACIÓN

TEMA 2. CODIFICACIÓN DE LA INFORMACIÓN TEMA 2. CODIFICACIÓN DE LA INFORMACIÓN 1. INTRODUCCIÓN. SISTEMAS DE NUMERACIÓN EN. Sistema binario. Sistema octal. Sistema hexadecimal. 2. REPRESENTACIÓN DE TEXTOS.. Números naturales. Números enteros.

Más detalles

Sistemas informáticos industriales. Algebra de Boole

Sistemas informáticos industriales. Algebra de Boole Sistemas informáticos industriales 2016 lgebra de oole lgebra oole Se denomina así en honor a George oole (1815-1864). El algebra de oole se emplea en sistema de control digitales, desde los sistemas de

Más detalles

Introducción a la Computación. Capítulo 10 Repertorio de instrucciones: Características y Funciones

Introducción a la Computación. Capítulo 10 Repertorio de instrucciones: Características y Funciones Introducción a la Computación Capítulo 10 Repertorio de instrucciones: Características y Funciones Que es un set de instrucciones? La colección completa de instrucciones que interpreta una CPU Código máquina

Más detalles

Diseño combinacional (Parte #2) Mapas de Karnaugh

Diseño combinacional (Parte #2) Mapas de Karnaugh Departamento de Electrónica Electrónica Digital Diseño combinacional (Parte #2) Mapas de Karnaugh Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Procedimiento de diseño de un circuito

Más detalles

SISTEMAS DE NUMERACION

SISTEMAS DE NUMERACION SISTEMAS DE NUMERACION INTRODUCCION El número de dígitos de un sistema de numeración es igual a la base del sistema. Sistema Base Dígitos del sistema Binario 2 0,1 Octal 8 0,1,2,3,4,5,6,7 Decimal 10 0,1,2,3,4,5,6,7,8,9

Más detalles

Definición y representación de los

Definición y representación de los Definición y representación de los circuitos lógicos. LÁMARA R + - + - OBJETIVO GENERAL BATERÍA Utilizar el álgebra booleana para analizar y describir el funcionamiento de las combinaciones de las compuertas

Más detalles

Introducción al álgebra de Boole. Operaciones lógicas básicas. Propiedades del álgebra de Boole. a b a+b

Introducción al álgebra de Boole. Operaciones lógicas básicas. Propiedades del álgebra de Boole. a b a+b Introducción al álgebra de Boole Muchos componentes utilizados en sistemas de control, como contactores y relés, presentan dos estados claramente diferenciados (abierto o cerrado, conduce o no conduce).

Más detalles

CIRCUITOS DIGITALES -

CIRCUITOS DIGITALES - CIRCUITOS DIGITALES - INTRODUCCIÓN CIRCUITOS DIGITALES CIRCUITOS DIGITALES SON LOS QUE COMUNICAN Y PROCESAN INFORMACIÓN DIGITAL SEÑAL DIGITAL: SOLO PUEDE TOMAR UN NÚMERO FINITO DE VALORES. EN BINARIO:

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles