Laboratorio 5: Diseño Jerárquico de Sistemas Digitales

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Laboratorio 5: Diseño Jerárquico de Sistemas Digitales"

Transcripción

1 Laboratorio 5: Diseño Jerárquico de Sistemas Digitales Objetivos: - Conocer la forma de comunicación que existe entre el teclado y su controlador (PS/2). - Conocer los scan-codes y aplicar técnicas de visualización en el osciloscopio para su captura. - Interactuar con la tarjeta, diseñando un sistema de control que permita visualizar en el led el scan code correspondiente a una tecla presionada. - Comprender y valorar la utilización de una metodología ordenada en el diseño de sistemas digitales que permita la reutilización de diseños anteriores de una manera rápida y clara. - Conocer y utilizar las sentencias correspondientes en ABEL para el desarrollo de subsistemas dentro de un diseño digital. - Diseñar sistemas como interconexión de subsistemas, mediante la clara definición de las tareas que cada subsistema debe realizar. - Desarrollar e implementar métodos de prueba para cada uno de los módulos integrantes de un sistema. - Verificar el funcionamiento del sistema completo. Recursos Disponibles y Conceptos Generales de Programación Modular 1. PS/2 La interfaz de comunicación PS/2 es una interfaz propuesta por IBM para comunicar dispositivos seriales en forma sincrónica, tanto teclado como Mouse. En la actualidad la mayoría de los teclados corresponden a este tipo con las siguientes características: - Gran número de teclas (101 a 104). - Conector de 5 o 6 pines (incluyen adaptadores). - Protocolo de comunicación serial bidireccional (PS/2). - Garantizan sólo el conjunto 2 de los scan codes. - Contestan todos los comandos enviados, sin embargo no actúan en todos ellos. La interfaz física que usualmente se utiliza se muestra en la figura 1. La alimentación del teclado/mouse corresponde a Vcc = +5 [V] y una corriente máxima de 100 [ma]. Se recomienda no conectar el teclado a la tarjeta mientras se encuentre prendida. Las líneas de Data y Clock son ambas de colector abierto, con resistencias de pull-up para fijar ambas líneas en alto. El mouse y teclado PS/2 implementan un protocolo de comunicación serial bidireccional. El bus de comunicación se encuentra en estado idle cuando ambas líneas (data y clock) se encuentran en alto. Este es el único estado en que al dispositivo (teclado o mouse) le está permitido enviar información al host (computador o en nuestro caso tarjeta de desarrollo XS95). El host tiene el control último sobre el bus y Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 1 de 10

2 puede inhibir la comunicación en cualquier instante, colocando la línea de clock en nivel bajo. Plug Socket 6-pin Mini-DIN (PS/2): 1 - Data 2 - No Implementado 3 - Ground 4 - Vcc (+5V) 5 - Clock 6 - No Implementado Figura 1: Interfaz PS/2 El dispositivo siempre genera la señal de clock. Si el host desea enviar datos, debe primero inhibir la comunicación desde el dispositivo, colocando la línea de clock en nivel bajo. Luego debe colocar en nivel bajo la línea de data y subir la línea de clock. Este estado es conocido como Request to Send, con lo cual el host señaliza al dispositivo que comience a generar pulsos de reloj a través de la línea clock para enviar los datos. Por lo tanto el bus puede estar en uno de los siguientes tres estados: - IDLE: Data y Clock en alto - INHIBIT: Data en alto y Clock en bajo - REQUEST TO SEND: Data en bajo y Clock en alto Todos los datos son mandados de un byte por vez y cada byte es enviado dentro de un frame de 11 o 12 bits: - 1 bit de partida: siempre es bits de datos: se comienza por el menos significativo. - 1 bit de paridad: se utiliza paridad impar. - 1 bit de parada: siempre es bit de confirmación: sólo para comunicación desde el host al dispositivo. El bit de paridad es colocado en alto si hay un número par de 1 s en los bits de datos, y colocado en bajo si hay un número impar. La idea es que el número de1 s de los bits de datos más el bit de paridad siempre sean un número impar (paridad impar). Esto se utiliza para la detección de errores en la transmisión. Si el dispositivo detecta un error responde como que se le ha enviado un comando inválido. La figura 2 muestra la comunicación del dispositivo con el host. Cuando el teclado o mouse desean mandar información deben asegurarse que la línea de clock debe estar en alto por lo menos 50 [us] antes de que el dispositivo pueda comenzar a enviar datos. El teclado/mouse escribe un bit en la línea de data cuando el reloj está alto, y es leído en el host cuando el reloj está bajo. Como se trata de una comunicación desde el dispositivo hacia el host no se envía un bit de confirmación. Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 2 de 10

3 : 2. ScanCodes Figura 2: Comunicación entre el Host y el teclado PS/2 En los teclados se distinguen distintos conjuntos de scancodes para identificar la tecla o teclas que se presionan. Se utiliza por defecto el conjunto 2 (set 2). Cada tecla tiene asociado un scancode compuesto de dos códigos: un make code, que se emite cada vez que se presiona una tecla, y un break code, que se emite cuando se suelta la tecla. En general estos códigos suelen tener entre 1 y 2 byte, pero existen ciertos scancodes que son más largos debido a que corresponden a una combinación de teclas más elaborada. Enhttp:// se encuentra disponible los scancodes del conjunto 2. Además en el sitio encuentra disponible la especificación de la norma PS/2. 3. Serie-paralelo, Paralelo-serie La figura 3 muestra la conexión lógica de dos registros realizada para la captura de datos en serie. Nótese que se está utilizando un reloj proporcionado por la misma fuente de los datos, tal como ocurre en una comunicación PS/2. En ella tanto el registro que recibe la conexión en serie como el que está copiando su contenido reciben la señal de reloj proporcionada por la contraparte que se está comunicando, pero al recibir el reloj negado, una de ellas se está retrasando con respecto a la otra, de manera de que ambos registros se comienzan a desplazar. El bit más significativo es el que recibe los datos seriales. 4. Driver PS/2 para XS95 Estudie el siguiente código Abel que implementa la recepción de datos vía el puerto PS/2 de la tarjeta: Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 3 de 10

4 10 KB_CLOCK KB_DATA MODULE keyboard TITLE 'keyboard' DECLARATIONS Figura 3: Conexión Lógica para la Captura de Datos en Serie 10 RST PIN 45; OE_RAM PIN 62; KB_DATA PIN 70; KB_CLK PIN 26; // uc reset control // RAM output enable // datos seriales desde el teclado PS/2 // reloj desde el teclado PS/2 RS_6..RS_0 PIN 15,14,18,17,19,23,21 ISTYPE 'COM'; // Pines del display RS_ = [RS_6..RS_0]; [MASTER8..MASTER0] NODE ISTYPE 'REG'; // registro maestro para almacenar MASTER9 PIN 77 ISTYPE 'REG'; // los datos desde el teclado MASTER = [MASTER9..MASTER0]; [SLAVE8..SLAVE0] NODE ISTYPE 'REG'; //registro esclavo SLAVE9 PIN 76 ISTYPE 'REG'; SLAVE = [SLAVE9..SLAVE0]; // EQUATIONS RST = 1; OE_RAM = 1; // deshabilitar uc // deshabilitar RAM MASTER.CLK =!KB_CLK; MASTER := [KB_DATA,SLAVE9..SLAVE1]; SLAVE.CLK = KB_CLK; SLAVE := MASTER; // Si una de las teclas del '0' al '9' es presionada entonces el display muestra el dígito // correspondiente TRUTH_TABLE([SLAVE7..SLAVE0] -> [RS_6..RS_0]) ^H16 -> ^B ; ^H1E -> ^B ; ^H26 -> ^B ; ^H25 -> ^B ; ^H2E -> ^B ; ^H36 -> ^B ; ^H3D -> ^B ; Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 4 de 10

5 ^H3E -> ^B ; ^H46 -> ^B ; ^H45 -> ^B ; END keyboard 5. Declaración de subsistemas en ABEL Como una manera de introducirse al diseño jerarquizado, se presenta a continuación un diseño sencillo, que permite mostrar por el display de 7 segmentos la cuenta de un contador de 4 bits, a través de la especificación de subsistemas. La figura 4 muestra un esquema con ambos módulos y sus conexiones. Es posible apreciar que el contador es en realidad de 16 bits, pero se utilizan los 4 últimos (más significativos) de manera de poder visualizar la cuenta en el display ( a qué frecuencia hay que ajustar el reloj de la tarjeta?). count3..count0 reloj restart usarcont clk rst cnten Contador q15 q14 q13 q12 D0 D1 D2 D3 q11..q0 Decodificador g f e d c b a D0 D1 D2 D3 D4 D5 D6 Ena usardec Figura 4: Interconexión de Subsistema Contador y Decodificador En lo que respecta al diseño jerárquico propiamente tal, el esquema anterior contempla la separación en dos módulos: contador y decodificador. Ambos módulos aparecen encerrados por un bloque que los contiene y que tiene distintos nombres a las señales de entrada y salida, según sea el caso. Estos bloques corresponden a la especificación que se encuentra en un nivel superior al nivel que ocuparía la descripción del contador y del decodificador. Los siguientes son los códigos en ABEL de las tres entidades. Nótese el empleo de las palabras reservadas fuctional_block, para declarar una instanciación, en un nivel superior de un módulo descrito en un nivel inferior; e interface, para declarar las entradas y salidas de una entidad inferior. Código en ABEL del sistema completo: module mod_prog title 'conexion de subsistemas contador y decoder'; Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 5 de 10

6 unicont interface (clk, rst, cnten -> q15..q0); decoder interface (D3..D0 -> a,b,c,d,e,f,g); countera functional_block unicont; counterb functional_block unicont; display functional_block decoder; "entradas del circuito clock pin 9; restart pin 80; arcounter pin 52; "salidas del circuito S6..S0 pin 15, 18, 23, 21, 19, 14, 17 istype 'com'; equations "entradas countera.clk = clock; counterb.clk = countera.q10; countera.rst = restart; counterb.rst = restart; countera.cnten = arcounter; counterb.cnten = arcounter; "salidas S6=display.a; S5=display.b; S4=display.c; S3=display.d; S2=display.e; S1=display.f; S0=display.g; "conexiones inter modulos display.d3=counterb.q15; display.d2=counterb.q14; display.d1=counterb.q13; display.d0=counterb.q12; end Módulo de nivel inferior que implementa el contador module unicont interface (clk,rst,cnten -> q15..q0); title 'Contador universal de 16 bits'; "constantes X,C,Z =.X.,.C.,.Z.; "entradas clk pin; "Reloj de entrada rst pin; "Reset Asincrónico cnten pin; "Habilitar cuenta "salidas q15..q0 pin istype 'reg'; "Salidas del contador "conjuntos count = [q15..q0]; "Counter set "Ecuaciones para el funcionamiento MODE = [cnten]; HOLD = (MODE == [0]); UP = (MODE == [1]); Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 6 de 10

7 equations when UP then count := count + 1 else when HOLD then count := count ; count.clk = clk; "Entrada de reloj count.ar = rst; "Entrada de reset end "Contar "mantener cuenta Módulo de nivel inferior que implementa el decodificador. module decoder interface (D3..D0 -> a,b,c,d,e,f,g); title 'Decodificador de siete segmentos' " a " --- " f g b " --- " e d c " --- D3..D0 pin; a,b,c,d,e,f,g pin istype 'com'; "definición de conjuntos o buses inputs = [D3,D2,D1,D0]; led = [a,b,c,d,e,f,g]; "Definición de constantes ON,OFF = 1,0; " Para LEDs de ánodo común L,H,X,Z = truth_table (inputs -> led) 0 -> [ ON, ON, ON, ON, ON, ON, OFF]; 1 -> [OFF, ON, ON, OFF, OFF, OFF, OFF]; 2 -> [ ON, ON, OFF, ON, ON, OFF, ON]; 3 -> [ ON, ON, ON, ON, OFF, OFF, ON]; 4 -> [OFF, ON, ON, OFF, OFF, ON, ON]; 5 -> [ ON, OFF, ON, ON, OFF, ON, ON]; 6 -> [ ON, OFF, ON, ON, ON, ON, ON]; 7 -> [ ON, ON, ON, OFF, OFF, OFF, OFF]; 8 -> [ ON, ON, ON, ON, ON, ON, ON]; 9 -> [ ON, ON, ON, ON, OFF, ON, ON]; 10 -> [ ON, ON, ON, OFF, ON, ON, ON]; 11 -> [OFF, OFF, ON, ON, ON, ON, ON]; 12 -> [ ON, OFF, OFF, ON, ON, ON, OFF]; 13 -> [OFF, ON, ON, ON, ON, OFF, ON]; 14 -> [ ON, OFF, OFF, ON, ON, ON, ON]; 15 -> [ ON, OFF, OFF, OFF, ON, ON, ON]; end Es necesario notar que en la especificación a un nivel superior las señales que van en la sección interface de cada instanciación de un determinado módulo son rebautizadas, y depende de la implementación a nivel superior el que estén disponibles o no para su visualización en la CPLD. Más referencias sobre el tema se encuentran en el capítulo 4 del Abel-HDL Reference Manual, disponible en la sección de Aplicaciones de la página de la asignatura. Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 7 de 10

8 6. Reutilización de código en ABEL La principal ventaja de la estructuración del código ABEL en módulos es que permite la reutilización de diseños que ya han sido probados en su totalidad. Éstos pueden ser utilizados como parte de un diseño digital más complejo que, al momento de diseñarlo, sea subdividido en módulos que realicen tareas más específicas. Por ejemplo, en el punto 4, se tiene un código ABEL cuya tarea específica es la captura desde teclado, respetando las especificaciones del protocolo PS/2 de los códigos específicos de cada tecla (make code). Dicho código incluye una tabla de verdad (truth_table) con la cuál se implementa la visualización de la tecla presionada cuando corresponda a un número específico. Luego, puede aislarse la parte principal del código que realiza la captura propiamente tal y encapsularla como un módulo que entiende el teclado, de manera de incluirlo posteriormente en algún otro diseño donde se quiera interactuar con la tarjeta de desarrollo en forma externa (sin utilizar el GXSPORT). Una posible implementación de dicho módulo sería: MODULE keyboard TITLE 'keyboard' interface (KB_DATA,KB_CLK -> SLAVE7..SLAVE0); KB_DATA PIN; // datos seriales desde el teclado PS/2 KB_CLK PIN; // reloj desde el teclado PS/2 [MASTER9..MASTER0] NODE ISTYPE 'REG'; // registro maestro para guardar // los datos desde el teclado MASTER = [MASTER9..MASTER0]; [SLAVE9..SLAVE0] PIN ISTYPE 'REG'; //registro esclavo SLAVE = [SLAVE9..SLAVE0]; // EQUATIONS MASTER.CLK =!KB_CLK; MASTER := [KB_DATA,SLAVE9..SLAVE1]; SLAVE.CLK = KB_CLK; SLAVE := MASTER; END keyboard El módulo anterior puede ser utilizado entonces en cualquier diseño, de manera tal que podemos estar permanentemente capturando cualquier evento proveniente desde el teclado y decidir que hacer con él. Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 8 de 10

9 Actividades Previas. a) Proponga un esquema similar al descrito en el punto 4 que permita enviar datos contenidos en un registro (paralelo) en forma serial por una sola línea de comunicación. Convierta dicho diseño en un módulo independiente, a través de la directiva interface de ABEL. b) Escriba el código ABEL necesario para armar el sistema que se muestra en la figura 5 en forma modular. Control del MUX (47) KB_DATA KB_CLK Controlador de Teclado PS/2 SCAN CODE 8 bits 4 bits Decodificador SIETE SEGMENTOS CLOCK (9) Módulo Paralelo a Serie. SALIDA SERIAL (76) : STROBE (46) Figura 5: Decodificador de Teclado PS/2 Cada módulo debe estar contenido en archivos independientes, y ser ensamblado en un módulo de nivel superior. Puede reutilizar códigos anteriores adaptándolos en forma conveniente. La salida del Mux podrán ser los 4 bits menos significativos (Control en 1) o los 4 bits más significativos de la entrada (Control en 0). El módulo paralelo serie debe funcionar en por lo menos dos modalidades: una, estando detenido, en cuyo caso la salida serial debe estar en alto, y otra corriendo en forma continua, donde la salida serial debe ser el scancode que se está visualizando en el display de siete segmentos, desde el bit menos significativo al más significativo. Dichas modalidades deben ser controladas a través del GXSPORT. Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 9 de 10

10 En el Laboratorio. c) Utilice la característica de disparo single del osciloscopio para visualizar el formato de transmisión de datos del protocolo PS/2. Visualice presionando sólo una vez una determinada tecla y manteniéndola presionada. Muestre estas formas de onda a su ayudante o profesor. d) Implemente en la tarjeta de desarrollo el sistema contador - decodificador, dado en la sección de recursos de este laboratorio. Compruebe su correcto funcionamiento. e) Implemente el sistema descrito en el punto b) de la parte previa. f) Pruebe en forma independiente cada módulo descrito (y los que Ud. haya considerado conveniente agregar). g) Verifique el funcionamiento del sistema completo de acuerdo a las especificaciones señaladas. h) Modifique el diseño de manera que la salida serial sea por dos pines: el pin de datos, que comience con un bit de partida (start) en cero, luego siga desde el bit más significativo al menos significativo, agregue un bit paridad par y un bit de parada (stop) en uno; mientras que en el otro pin se incluya un reloj que permita distinguir los bits de datos, de forma similar al protocolo PS/2. Lab. de Sistemas Digitales Silva/Aguilera/Freund Pág. 10 de 10

Laboratorio 07. Diseño Jerárquico de Sistemas Digitales

Laboratorio 07. Diseño Jerárquico de Sistemas Digitales Objetivo Principal: Laboratorio 07. Diseño Jerárquico de Sistemas Digitales Adquirir una forma de diseño modular estructurado que permita realizar proyectos de mayor envergadura mediante la subdivisión

Más detalles

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Objetivos Específicos: - Aplicar sentencias específicas del lenguaje ABEL para describir registros

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Aprender el uso de dispositivos controlados por una FPGA en una tarjeta de desarrollo. Conocer la interfaz PS/2, el protocolo de comunicación y

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Usar la interfaz serial sincrónica PS/2 como dispositivo de entrada de datos. Diseñar un multiplexor con división de tiempo para manejo de display

Más detalles

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012 LAB 5 Tarjeta de Desarrollo Spartan-3 Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012 Objetivos Generales Usar la interfaz serial sincrónica PS/2 como dispositivo de entrada de datos.

Más detalles

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010 LAB 5 Tarjeta de Desarrollo Spartan-3 Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010 Objetivos Generales Controlar dispositivos mediante una FPGA. Conocer la interfaz PS/2 para conexión

Más detalles

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA UTFSM Noviembre 2003 APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA 1. INTRODUCCIÓN En este documento se encuentra toda la información

Más detalles

Armado de un microcomputador, basado en microcontrolador 8031

Armado de un microcomputador, basado en microcontrolador 8031 Armado de un microcomputador, basado en microcontrolador 8031 Objetivos. Conocer la forma en que están interconectadas la memoria, el controlador, y el CPLD, en la tarjeta de desarrollo. Entender con detalles

Más detalles

Preparación: Para el diseño secuencial será necesario que utilices una señal de reloj. Para generar este pulso de reloj, refiérete a la práctica 2.

Preparación: Para el diseño secuencial será necesario que utilices una señal de reloj. Para generar este pulso de reloj, refiérete a la práctica 2. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 3 ISIS de Proteus para simulación Diseño de máquinas de estado con ABEL-HDL Dr. Juan Carlos Herrera Lozada. jlozada@ipn.mx Centro de Innovación y Desarrollo

Más detalles

Lattice isplever. Características. Gestión de proyectos

Lattice isplever. Características. Gestión de proyectos Lattice isplever Características Conjunto de herramientas para el diseño con CPLD y FPGA Versión isplever starter gratuita (licencia 6 meses) Entorno de desarrollo integrado -> incluye Gestión de proyectos

Más detalles

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI Sistemas Digitales TRABAJO PRÁCTICO Nº 4 Sistemas combinacionales MSI Ejercicio Nº 1: Se desea transmitir datos de dos bits con control de paridad impar. Utilice un decodificador para diseñar un circuito

Más detalles

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

Problemario Electrónica Digital

Problemario Electrónica Digital Ejercicios de C.L.S.S ( Moore y Mealy), Registros y Memoria 1. Realice un circuito secuencial síncrono que tenga dos modos de operación: M=0 el circuito contara 0,1,3,2,5,4,7,6,0,1... M=1 el circuito contara

Más detalles

Laboratorio 02. Ambiente de Mediciones Digitales

Laboratorio 02. Ambiente de Mediciones Digitales Laboratorio 02. Ambiente de Mediciones Digitales Objetivos : - Conocer y utilizar con propiedad osciloscopio de señal mixta. - Manejar con propiedad los conceptos de sincronización, disparo, nivel de disparo,

Más detalles

Laboratorio 4. Objetivos

Laboratorio 4. Objetivos DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 4 Objetivos Interpretación de información especificada en hojas de datos o especificaciones de diseño Utilización de

Más detalles

TRAB.PRÁCTICO Nº 1: INTRODUCCIÓN A LAS TÉCNICAS DIGITALES

TRAB.PRÁCTICO Nº 1: INTRODUCCIÓN A LAS TÉCNICAS DIGITALES OBJETIVOS: A partir de los conocimientos adquiridos en las asignaturas previas ( Elementos de Informática y Elementos de Lógica y Matemática Discreta ) relacionados con el Álgebra de Boole y funciones

Más detalles

Módulo 2 n. Figura 2.1. Simbología de un contador

Módulo 2 n. Figura 2.1. Simbología de un contador Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar el número de pulsos que llegan a su entrada de reloj. En muchas ocasiones

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Como vimos en la unidad anterior, un sistema combinatorio se identifica porque la salida del sistema

Más detalles

Montaje y evaluación de sistemas digitales combinacionales.

Montaje y evaluación de sistemas digitales combinacionales. PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de:

Más detalles

Laboratorio 8: Controlador VGA

Laboratorio 8: Controlador VGA Objetivos: Laboratorio 8: Controlador VGA - Aplicar sentencias específicas del lenguaje ABEL para describir sistemas secuenciales sincrónicos y asincrónicos. - Estudiar especificaciones de monitores tipo

Más detalles

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Una Máquina de estados es un dispositivo digital que atraviesa una predeterminada secuencia de estados. Las máquinas de estados se usan típicamente para

Más detalles

Laboratorio 10. Controlador VGA

Laboratorio 10. Controlador VGA Laboratorio 10. Controlador VGA Objetivo Principal: Aplicar los contenidos vistos sobre máquinas secuenciales y diagramas de temporización (laboratorio 5) para desarrollar una interfaz que controle la

Más detalles

Periféricos II Teclado y ratón PS2

Periféricos II Teclado y ratón PS2 Práctica 2 Periféricos II Teclado y ratón PS2 1 Introducción La tarjeta de Altera UP2 incluye la posibilidad de incorporar un teclado o un ratón PS2 ya que incluye un conector PS2 que incorpora la interfaz

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Prof: Zulay Franco Puerto Ordaz, Agosto

Prof: Zulay Franco Puerto Ordaz, Agosto Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar, en binario, el número de pulsos que llegan a su entrada de reloj.

Más detalles

----*************************************************************************

----************************************************************************* library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use UNISIM.VComponents.all; ----********************************************************************

Más detalles

Comunicación Serial: Conceptos Generales

Comunicación Serial: Conceptos Generales Comunicación Serial: Conceptos Generales Conceptos Generales Qué es la comunicación serial? Qué es RS-232? Qué es RS-422? Qué es RS-485? Qué es handshaking (o intercambio de pulsos de sincronización)?

Más detalles

Unidad III. Interfaces de comunicación Series, USB, CAN, Field Bus, Etc.

Unidad III. Interfaces de comunicación Series, USB, CAN, Field Bus, Etc. Unidad III Interfaces de comunicación Series, USB, CAN, Field Bus, Etc. Principios básicos Elementos comunes en sistemas de comunicación de datos Trasmisor y Receptor Tabla ASCII American Standard Code

Más detalles

EL BUS I2C CARACTERISTICAS. Fernando Remiro

EL BUS I2C CARACTERISTICAS. Fernando Remiro CARACTERISTICAS Fernando Remiro 1 CARACTERÍSTICAS Utiliza 2 líneas para transportar la información entre los distintos periféricos conectados al bus SDA (datos) SCL (reloj) Cada dispositivo se identifica

Más detalles

MÓDULO Nº7 REGISTROS Y CONTADORES

MÓDULO Nº7 REGISTROS Y CONTADORES MÓDULO Nº7 REGISTROS Y CONTADORES UNIDAD: LÓGICA SECUENCIAL TEMAS: Registros. Contadores. OBJETIVOS: Explicar que es un registro, su clasificación y sus principales características. Explicar que es un

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Aprender el uso de un osciloscopio de señal mixta. Conocer y comprender los conceptos de prueba estática y prueba dinámica Medir tiempos de retardo en compuertas

Más detalles

3 Interfaz PC DSP. 3.1 Introducción a las comunicaciones serie. 3 Interfaz PC DSP

3 Interfaz PC DSP. 3.1 Introducción a las comunicaciones serie. 3 Interfaz PC DSP 3 Interfaz PC DSP 3.1 Introducción a las comunicaciones serie Las comunicaciones serie se utilizan para enviar datos a través de largas distancias, ya que las comunicaciones en paralelo exigen demasiado

Más detalles

4.7 Aplicaciones usando la tarjeta Spartan 3E

4.7 Aplicaciones usando la tarjeta Spartan 3E 4.7 Aplicaciones usando la tarjeta Spartan 3E USO DE LOS PERIFERICOS DEL SISTEMA DE DESARROLLO SPARTAN 3E Instructor: MC. Rodrigo Lucio Maya Ramírez Uso del LCD Operación escritura Operación lectura Inicialización

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015

Más detalles

PROYECTOS EN VHDL PARA CONTROL DE MONITOR VGA DESDE UNA FPGA

PROYECTOS EN VHDL PARA CONTROL DE MONITOR VGA DESDE UNA FPGA PROYECTOS EN VHDL PARA CONTROL DE MONITOR VGA DESDE UNA FPGA Sergio Noriega 2017 TABLA DE CÓDIGOS ASCII Internacionalmente se estandarizó un código para para la representación de caracteres visibles para

Más detalles

Enlaces Seriales UART, I2C, SPI

Enlaces Seriales UART, I2C, SPI Comunicaciones, Enlaces Seriales UART, I2C, SPI (Preparen Papel y Lápiz) Diagrama de Bloques Fecha y Hora Entradas Procesamiento Procesamiento Comunicación Comunicación Universidad TransmisiónNacional

Más detalles

Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language).

Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language). Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language). 1.Lenguaje Abel. 2.Estructura básica de un programa Abel. 3.Links y referencias 2.1 Encabezado. 2.2 Declaraciones. 2.3 Descripción

Más detalles

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada ABEL Para Síntesis Dr. Juan C. Herrera Lozada jlozada@ipn.mx Caso de Estudio 1: Diseño Combinacional ABEL permite la descripción de circuitos mediante tablas de verdad, ecuaciones y diagramas de estado.

Más detalles

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 1 isplever: ABEL HDL, Diseño Lógico Combinatorio. jlozada@ipn.mx Centro de Innovación y Desarrollo Tecnológico en Cómputo Lab. de Diseño de Sistemas Digitales

Más detalles

RMS2-PT-IA3 Módulo de Adquisición de PT100 - Manual del Usuario

RMS2-PT-IA3 Módulo de Adquisición de PT100 - Manual del Usuario www.exemys.com Rev. 0 1 Los productos están en permanente evolución para satisfacer las necesidades de nuestros clientes. Por esta razón, las especificaciones y capacidades están sujetas a cambios sin

Más detalles

Dado el siguiente circuito digital, encontrar la tabla característica y la tabla de operación del flip-flop correspondiente

Dado el siguiente circuito digital, encontrar la tabla característica y la tabla de operación del flip-flop correspondiente Un flip-flop "S-R Set-dominante" difiere del flip-flop S-R normal en que cuando S y R están a valor lógico 1 simultáneamente se realiza la operación de Set. Obtener la tabla de operación, la tabla característica

Más detalles

PRACTICAS GAL22V10. Utilizar la placa PLD (GAL22V10), compilador de programas IspDesignExpert y programa de grabación del dispositivo IspVMSystem.

PRACTICAS GAL22V10. Utilizar la placa PLD (GAL22V10), compilador de programas IspDesignExpert y programa de grabación del dispositivo IspVMSystem. Práctica 1: Trabajo con operadores lógicos Objetivo: Operadores Lógicos Utilizar la placa PLD (GAL22V10), compilador de programas IspDesignExpert y programa Realizar un croquis en papel reseñando claramente

Más detalles

Lógica Digital - Circuitos Secuenciales

Lógica Digital - Circuitos Secuenciales Lógica Digital - Circuitos Secuenciales Organización del Computador I Diego Fernández Slezak (gracias David y Fran!) Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de

Más detalles

Ud debe controlar el flujo de información en una interface paralela CENTRONIX, que opera a 60 KB/seg.

Ud debe controlar el flujo de información en una interface paralela CENTRONIX, que opera a 60 KB/seg. FACULTAD CÓRDOBA DEPARTAMENTO ELECTRÓNICA CATEDRA DE TÉCNICAS DIGITALES II Examen final 12 de febrero de 1998 Ud debe controlar el flujo de información en una interface paralela CENTRONIX, que opera a

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo.

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo. TITULO : Biestables, Monoestables y Astables 1.-Objetivos: Practica 1 (3.5 %) Estudiar y analizar el comportamiento de los biestables asíncronos y sincronos. Realizar montajes con diferentes tipo de Monoestables.:

Más detalles

Lógica Digital. Circuitos Secuenciales. Francisco García Eijó. Organización del Computador I Departamento de Computación - FCEyN UBA

Lógica Digital. Circuitos Secuenciales. Francisco García Eijó. Organización del Computador I Departamento de Computación - FCEyN UBA Lógica Digital Circuitos Secuenciales Francisco García Eijó Organización del Computador I Departamento de Computación - FCEyN UBA 5 de Abril del 2016 Agenda 1 Repaso 2 Introducción 3 Flip-Flops 4 Ejercicios

Más detalles

FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA LABORATORIO DE TEORÍA DE COMUNICACIONES PRÁCTICA N 5 COMUNICACIÓN SERIAL ENTRE DOS PCS

FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA LABORATORIO DE TEORÍA DE COMUNICACIONES PRÁCTICA N 5 COMUNICACIÓN SERIAL ENTRE DOS PCS FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Redes de Información LABORATORIO DE TEORÍA DE COMUNICACIONES 1. TEMA PRÁCTICA N 5 COMUNICACIÓN SERIAL ENTRE DOS PCS 2.

Más detalles

Integración de Equipos para Comunicaciones

Integración de Equipos para Comunicaciones Integración de Equipos para Comunicaciones Tema 3: Bus XT Aurelio Vega Martínez DIEA: Integración de Equipos para Comunicaciones. (Tema 3: Bus XT). Pág. 1 Introducción. Diseñado en un principio para trabajar

Más detalles

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS 1. DISPOSITIVOS SECUENCIALES Los circuitos biestables son aquellos que poseen dos estados estables que se pueden mantener por tiempo indefinido, lo que nos

Más detalles

VOCABULARIO DEL HARDWARE. Docente Yeni Ávila

VOCABULARIO DEL HARDWARE. Docente Yeni Ávila VOCABULARIO DEL HARDWARE Docente Yeni Ávila HARDWARE El Hardware Básico: son las piezas fundamentales e imprescindibles para que la computadora funcione como son: Placa base, monitor, teclado y ratón.

Más detalles

Organización del Computador I. David Alejandro González Márquez

Organización del Computador I. David Alejandro González Márquez Lógica Digital - Circuitos Secuenciales Organización del Computador I David Alejandro González Márquez Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires 11.02.2011

Más detalles

Laboratorio 8: Controlador VGA

Laboratorio 8: Controlador VGA Objetivos: Laboratorio 8: Controlador VGA Estudiar especificaciones de monitores tipo VGA. Aplicar conceptos de máquinas secuenciales y diagramas temporales para controlar la interfaz VGA de la tarjeta

Más detalles

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Universal Asynchronous receiver and transmitter: dispositivo (controlador ) que envía datos paralelos sobre una línea serie.

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Laboratorio 8: Controlador VGA

Laboratorio 8: Controlador VGA Objetivos: Laboratorio 8: Controlador VGA Estudiar especificaciones de monitores tipo VGA. Usar la unidad de administración de reloj digital DCM, disponible en la FPGA Spartan 3 de Xilinx, para generación

Más detalles

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA.

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. Características Técnicas: FPGA de Xilinx XC2S400E ISP PROM XC18V04 Memoria RAM estática: 2Mbytes Memoria FLASH: 4 Mbytes Temperatura de almacenamiento:

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

ARQUITECTURA DE LOS SUBSISTEMAS DE BUSES Y ENTRADA/SALIDA

ARQUITECTURA DE LOS SUBSISTEMAS DE BUSES Y ENTRADA/SALIDA U C V F A C C I E N C I A S Organización y Estructura del Computador II ARQUITECTURA DE LOS SUBSISTEMAS DE BUSES Y ENTRADA/SALIDA T e m a 2 G D O E C - II S e m e st r e I - 2012 AGENDA Subsistema de Buses

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

Modelo ISO OSI. Vínculo Físico

Modelo ISO OSI. Vínculo Físico Modelo ISO OSI TDII - Conexión serie con el mundo. 1 Vínculo Físico UTP TDII - Conexión serie con el mundo. 2 1 Vínculo Físico TDII - Conexión serie con el mundo. 3 Vínculo Físico TDII - Conexión serie

Más detalles

P1.0,... P1.7 7 EN3 EN2

P1.0,... P1.7 7 EN3 EN2 Ing. O. Richer Microprocesadores I Práctica #7 Manejo de un Display Trabajo en grupo. Conecte al microcontrolador 8051 un display formado por 5 dígitos a base de LED s de siete segmentos, como se muestra

Más detalles

4. Comunicaciones serie y paralelo

4. Comunicaciones serie y paralelo 4. Comunicaciones serie y paralelo CONCEPTOS DE TRANSMISIÓN DE DATOS DIGITALES Transmisión serie y paralela Transmisión asíncrona y síncrona Interfaz DTE-DCE INTERFAZ EIA-232 / V.24 Especificaciones mecánicas

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

Ahora veamos algunos de los cables más comunes para conectar los dispositivos a nuestra PC. 1- Cable de power, del toma eléctrico al CPU.

Ahora veamos algunos de los cables más comunes para conectar los dispositivos a nuestra PC. 1- Cable de power, del toma eléctrico al CPU. Puertos de una PC Seguimos con la tercer clase de armado de PC s, y hoy vamos a ver en detalle los puertos físicos de una computadora. Y un adicional simple de los cables más comunes que se utilizan para

Más detalles

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175 Registros y latches multibit EL-3213 Circuitos Digitales I 74x175 Registros Contadores Registros de Desplazamiento 1 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados Otros registros de

Más detalles

SADE en LabView. IO PIN: SADE cuenta con 13 pines que se pueden configurar como entrada, salida e inclusive como PWM.

SADE en LabView. IO PIN: SADE cuenta con 13 pines que se pueden configurar como entrada, salida e inclusive como PWM. SADE en LabView SADE (Sistema de Adquisición de Datos flexible ) es la aplicación de INTESC la cual facilita el manejo de la tarjeta Symbhia mediante comandos en lenguaje de alto nivel. En el siguiente

Más detalles

El modulo cuenta con un led indicador, para saber en qué estado se encuentra.

El modulo cuenta con un led indicador, para saber en qué estado se encuentra. MODULO RECEPTOR. Este pequeño modulo cuenta con un receptor infrarrojo el cual recibe la señal de un control y la envía hacia un microcontrolador para que este actué sobre 2 salidas digitales que van conectadas

Más detalles

EL-3213 Circuitos Digitales I. Registros y latches multibit

EL-3213 Circuitos Digitales I. Registros y latches multibit EL-3213 Circuitos Digitales I Registros Contadores Registros de Desplazamiento 1 Registros y latches multibit 74x175 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados 3 Otros registros de

Más detalles

Inter.face de comunicación RS 232 de empotrar Referencia: /8455.9

Inter.face de comunicación RS 232 de empotrar Referencia: /8455.9 Inter.face de comunicación RS 232 de empotrar Este módulo de aplicación de interface serie se utiliza para conectar un PC al EIB y se coloca en un acoplador bus empotrado. Se puede integrar dentro del

Más detalles

Práctica de Problemas N o 3

Práctica de Problemas N o 3 Práctica de Problemas N o 3 Registros y Lógica MSI Ejercicio 1 Se desean comparar dos palabras de 4 bits a = a 3 a 2 a 1 a 0 b = b 3 b 2 b 1 b 0 Diseñe un circuito combinacional que produzca una salida

Más detalles

Arquitectura del Procesador I

Arquitectura del Procesador I Arquitectura del Procesador I PRACTICO Nº 2 Circuitos Secuenciales Ejercicio 21 (a): Se necesita crear un circuito que divida por 3 una frecuencia de reloj. Si consideramos que el funcionamiento de todo

Más detalles

RMS2-AI-IA3 Módulo de Adquisición de Variables Analógicas - Manual del Usuario

RMS2-AI-IA3 Módulo de Adquisición de Variables Analógicas - Manual del Usuario www.exemys.com Rev. 0 1 Los productos están en permanente evolución para satisfacer las necesidades de nuestros clientes. Por esta razón, las especificaciones y capacidades están sujetas a cambios sin

Más detalles

Guía de uso Tarjeta Nexys 2 FPGA Spartan-3E

Guía de uso Tarjeta Nexys 2 FPGA Spartan-3E Tarjeta Nexys 2 FPGA Spartan-3E Ingeniería Eléctrica y Electrónica DIEE Sede Bogotá Facultad de Ingeniería del Departamento Ingeniería Eléctrica y Electrónica. Tarjeta Nexys 2 FPGA Spartan 3-E. Versión

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

Sistema de Registro de Temperatura y Humedad

Sistema de Registro de Temperatura y Humedad Aplicaciones: bodegas, invernaderos, salas de clases, hospitales, ambientes controlados, frigoríficos, etc. Los principales componentes de este sistema son: PC RS-232 1 / 5 Dispositivos de medición de

Más detalles

Decodificador de Direcciones de Memoria en una GAL

Decodificador de Direcciones de Memoria en una GAL Decodificador de Direcciones de Memoria en una GAL La decodificación de memoria es una aplicación típica de los dispositivos lógicos programables, y la siguiente describe la implementación con ABEL-HDL

Más detalles

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata Ejercicio: construir un datalogger El datalogger o registrador debe sensar la temperatura ambiente cada 1s y guardarla junto a la fecha y hora de adquisición en un archivo.txt alojado en una memoria µsd.

Más detalles

Introducción. Modo monitor. Cuaderno técnico 4: Grabación de microcontroladores PIC

Introducción. Modo monitor. Cuaderno técnico 4: Grabación de microcontroladores PIC Cuaderno técnico 4: Grabación de microcontroladores PIC [Introducción] [Modo monitor] [Arquitectura] [Autores] [Licencia] [Download] [Links] [Noticias] Introducción Los microcontroladores PIC se graban

Más detalles

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales.

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. TEMA 6: BIESTABLES. 6.1. Elementos de memoria: biestables y tipos. Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. La característica principal

Más detalles

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata Ing. Guillermo Murcia Ing. Jorge Luis Strack gjmurcia@fi.mdp.edu.ar jlstrack@fi.mdp.edu.ar Lecto-grabadora de SDcard - Se comunica con Arduino a través del protocolo de comunicación SPI. - Se comanda a

Más detalles

CAPITULO V. Implementación del Sistema de Transmisión y Recepción.

CAPITULO V. Implementación del Sistema de Transmisión y Recepción. CAPITULO V Implementación del Sistema de Transmisión y Recepción. Para que el operador tenga control constante del móvil debe haber una comunicación constante entre este y una terminal, en este caso una

Más detalles

PRÁCTICA 2: SISTEMAS DIGITALES

PRÁCTICA 2: SISTEMAS DIGITALES 1 OBJETIVOS: PRÁCTICA 2: SISTEMAS DIGITALES 1.1 Manejo de un software de simulación 1.2 Desarrollo de un Sistema Digital sencillo con componentes reales. 2 ESTUDIO TEÓRICO: Se pretender realizar varios

Más detalles

Tema: Comunicación en Serie. Interfaz RS-232.

Tema: Comunicación en Serie. Interfaz RS-232. Redes de Comunicación Industrial. Guía 1 1 Facultad: Ingeniería Escuela: Electrónica Asignatura: Redes de Comunicación Industrial Lugar de ejecución: Instrumentación y Control (Edificio 3, 2da planta)

Más detalles

Laboratorio 2 Puerto con Handshake y Recepción serie

Laboratorio 2 Puerto con Handshake y Recepción serie Laboratorio 2 Puerto con Handshake y Recepción serie Objetivos Desarrollar programas de complejidad media con E/S controlada por handshake. Comunicarse con un periférico utilizando un protocolo estándar.

Más detalles

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales Organización de Computadoras 2003 Apunte 5: Circuitos Lógicos Secuenciales Introducción: En el desarrollo de los sistemas digitales es fundamental el almacenamiento de la información, esta característica

Más detalles

13-Bloques Básicos Secuenciales

13-Bloques Básicos Secuenciales 3-Bloques Básicos Secuenciales 3. Bloques básicos 3.2 Ejemplos de diseños 3: Bloques Básicos Bloques Básicos Secuenciales Bloques básicos secuenciales Contadores Registros de desplazamiento (o corrimiento)

Más detalles