Laboratorio 07. Diseño Jerárquico de Sistemas Digitales

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Laboratorio 07. Diseño Jerárquico de Sistemas Digitales"

Transcripción

1 Objetivo Principal: Laboratorio 07. Diseño Jerárquico de Sistemas Digitales Adquirir una forma de diseño modular estructurado que permita realizar proyectos de mayor envergadura mediante la subdivisión en tareas más simples con características modulares. Objetivos Específicos: - Comprender y valorar la utilización de una metodología ordenada en el diseño de sistemas digitales que permita la reutilización de diseños anteriores de una manera rápida y clara. - Conocer y utilizar las sentencias correspondientes en ABEL para el desarrollo de subsistemas dentro de un diseño digital. - Diseñar sistemas como interconexión de subsistemas, mediante la clara definición de las tareas que cada subsistema debe realizar. - Desarrollar e implementar métodos de prueba para cada uno de los módulos integrantes de un sistema. - Verificar el funcionamiento del sistema completo. Preparación Previa. Recursos. 1.- Instrucciones en ABEL para declarar subsistemas. Como una manera de introducirse al diseño jerarquizado, se presenta a continuación un diseño sencillo, que permite mostrar por el display de 7 segmentos la cuenta de un contador de 4 bits, a través de la especificación de subsistemas. El siguiente esquema nos muestra ambos módulos y sus conexiones: count3..count0 reloj restart usarcont clk rst cnten Contador q15 q14 q13 q12 D0 D1 D2 D3 q11..q0 Decodificador g f e d c b a D0 D1 D2 D3 D4 D5 D6 Ena usardec Laboratorio de Sistemas Digitales. Prof. L. Silva B. R. Aguilera R

2 Es posible apreciar que el contador es en realidad de 16 bits, pero se utilizan los 4 últimos (más significativos) de manera de poder visualizar la cuenta en el display ( a qué frecuencia hay que ajustar el reloj de la tarjeta?). En lo que respecta al diseño jerárquico propiamente tal, el esquema anterior contempla la separación en dos módulos: contador y decodificador. Ambos módulos aparecen encerrados por una caja que los contiene y que tiene distintos nombres a las señales de entrada y salida, según sea el caso. Dicha caja corresponde a la especificación que se encuentra en un nivel superior al nivel que ocuparía la descripción del contador y del decodificador. Los siguientes son los códigos en ABEL de las tres entidades. Nótese el empleo de la palabras reservadas fuctional_block, para declarar una instanciación, en un nivel superior, de un módulo descrito en un nivel inferior; e interface, para declarar las entradas y salidas de una entidad inferior. module maq_digital title 'conexion de subsistemas contador y decoder'; unicont interface (clk,rst, cnten -> q15..q0); decoder interface (D3..D0, Ena -> a,b,c,d,e,f,g); contador functional_block unicont; decodificador functional_block decoder; "entradas del circuito grande reloj pin 9; restart pin 80; usardec pin 81; usarcont pin 52; "salidas del circuito grande S6..S0 pin 15, 18, 23, 21, 19, 14, 17 istype 'com'; count3..count0 pin istype 'reg'; "conexiones equations "conexiones exteriores "entradas contador.clk = reloj; contador.rst = restart; contador.cnten = usarcont; decodificador.ena = usardec; Laboratorio de Sistemas Digitales. Prof. L. Silva B. R. Aguilera R

3 "salidas S6=decodificador.a; S5=decodificador.b; S4=decodificador.c; S3=decodificador.d; S2=decodificador.e; S1=decodificador.f; S0=decodificador.g; count3=contador.q15; count2=contador.q14; count1=contador.q13; count0=contador.q12; "conexiones inter modulos decodificador.d3=contador.q15; decodificador.d2=contador.q14; decodificador.d1=contador.q13; decodificador.d0=contador.q12; end Código en ABEL del sistema completo. module unicont interface (clk,rst,cnten -> q15..q0) ; title 'Contador universal de 16 bits' ; "constantes X,C,Z =.X.,.C.,.Z. ; "entradas clk pin; "Reloj de entrada rst pin; "Reset Asincrónico cnten pin; "Habilitar cuenta "salidas q15..q0 pin istype 'reg'; "Salidas del contador "conjuntos count = [q15..q0]; "Counter set "Ecuaciones para el funcionamiento MODE = [cnten]; HOLD = (MODE == [ 0 ]); UP = (MODE == [ 1 ]); equations when UP then count := count + 1 "Contar else when HOLD then count := count ; "mantener cuenta count.clk = clk; "Entrada de reloj count.ar = rst; "Entrada de reset end Módulo de nivel inferior que implementa el contador. Laboratorio de Sistemas Digitales. Prof. L. Silva B. R. Aguilera R

4 module decoder interface (D3..D0,Ena -> a,b,c,d,e,f,g); title 'Decodificador de siete segmentos' " a " --- " f g b " --- " e d c " --- D3,D2,D1,D0, Ena pin; a,b,c,d,e,f,g pin istype 'com'; "definición de conjuntos o buses inputs = [D3,D2,D1,D0]; led = [a,b,c,d,e,f,g]; "Definición de constantes ON,OFF = 1,0; " Para LEDs de ánodo común L,H,X,Z = 0,1,.X.,.Z.; equations led.oe truth_table (inputs -> [ a, b, c, d, e, f, g ]) 0 -> [ ON, ON, ON, ON, ON, ON, OFF]; 1 -> [OFF, ON, ON, OFF, OFF, OFF, OFF]; 2 -> [ ON, ON, OFF, ON, ON, OFF, ON]; 3 -> [ ON, ON, ON, ON, OFF, OFF, ON]; 4 -> [OFF, ON, ON, OFF, OFF, ON, ON]; 5 -> [ ON, OFF, ON, ON, OFF, ON, ON]; 6 -> [ ON, OFF, ON, ON, ON, ON, ON]; 7 -> [ ON, ON, ON, OFF, OFF, OFF, OFF]; 8 -> [ ON, ON, ON, ON, ON, ON, ON]; 9 -> [ ON, ON, ON, ON, OFF, ON, ON]; 10 -> [ ON, ON, ON, OFF, ON, ON, ON]; 11 -> [ OFF, OFF, ON, ON, ON, ON, ON]; 12 -> [ ON, OFF, OFF, ON, ON, ON, OFF]; 13 -> [ OFF, ON, ON, ON, ON, OFF, ON]; 14 -> [ ON, OFF, OFF, ON, ON, ON, ON]; 15 -> [ ON, OFF, OFF, OFF, ON, ON, ON]; end Módulo de nivel inferior que implementa el decodificador. Es necesario notar que en la especificación a nivel superior las señales que van en la sección interface de cada instanciación de un determinado módulo son rebautizadas, y Laboratorio de Sistemas Digitales. Prof. L. Silva B. R. Aguilera R

5 depende de la implementación a nivel superior el que estén disponibles ó no para su visualización en la CPLD. Más referencias sobre el tema pueden ser ubicadas en el capítulo 4 del Abel-HDL Reference Manual, disponible en la sección de Aplicaciones de la página del ramo. 2.- Reutilización de código en ABEL. La principal ventaja de la estructuración del código ABEL en módulos es que permite la reutilización de diseños que ya han sido probados en su totalidad. Éstos pueden ser utilizados como parte de un diseño digital más complejo que, al momento de diseñarlo, sea subdividido en módulos que realicen tareas más específicas. Por ejemplo, en la experiencia 6, se tenía un código ABEL cuya tarea específica era la captura desde teclado, respetando las especificaciones del protocolo PS/2, de los códigos específicos de cada tecla (make code). Dicho código incluía una tabla de verdad (truth_table) con la cuál se implementaba la visualización de la tecla presionada cuando correspondía a un número específico. Luego, puede aislarse la parte principal del código que realiza la captura propiamente tal y encapsularla como un módulo que entiende el teclado, de manera de incluirlo posteriormente en algún otro diseño donde se quiera interactuar con la tarjeta de desarrollo en forma externa (sin utilizar el GXSPORT). Un posible implementación de dicho módulo sería: MODULE keyboard TITLE 'keyboard' interface (KB_DATA,KB_CLK -> SLAVE7..SLAVE0); KB_DATA PIN; // datos seriales desde el teclado PS/2 KB_CLK PIN; // reloj desde el teclado PS/2 [MASTER9..MASTER0] NODE ISTYPE 'REG'; // registro maestro para guardar // los datos desde el teclado MASTER = [MASTER9..MASTER0]; [SLAVE9..SLAVE0] PIN ISTYPE 'REG'; //registro esclavo SLAVE = [SLAVE9..SLAVE0]; // EQUATIONS MASTER.CLK =!KB_CLK; MASTER := [KB_DATA,SLAVE9..SLAVE1]; SLAVE.CLK = KB_CLK; SLAVE := MASTER; END keyboard Implementación posible de un módulo que capture caracteres de un teclado PS/2. El módulo anterior puede ser utilizado entonces en cualquier diseño, de manera tal que podemos estar permanentemente capturando cualquier evento proveniente desde el teclado y decidir que hacer con él. Laboratorio de Sistemas Digitales. Prof. L. Silva B. R. Aguilera R

6 Actividades Previas. a) Considerando el diseño propuesto por Ud. en la parte a) del laboratorio previo, convierta dicho diseño en un módulo independiente, a través de la directiva interface de ABEL. b) Escriba el código ABEL necesario para armar el siguiente sistema en forma modular: Control del MUX (47) KB_DATA KB_CLK Controlador de Teclado PS/2 SCAN CODE 8 bits 4 bits Decodificador SIETE SEGMENTOS CLOCK (9) Módulo Paralelo a Serie. SALIDA SERIAL (76) Cada módulo debe estar contenido en archivos independientes, y ser ensamblado en un módulo de nivel superior. Puede reutilizar códigos anteriores adaptándolos en forma conveniente. El módulo paralelo serie debe funcionar en por lo menos dos modalidades: una, estando detenido, en cuyo caso la salida serial debe estar en alto, y otra corriendo en forma continua, donde la salida serial debe ser el scancode que se está visualizando en el display de siete segmentos, desde el bit menos significativo al más significativo. Dichas modalidades deben ser controladas a través del GXSPORT. En el Laboratorio. STROBE (46) a) Implemente en la tarjeta de desarrollo el sistema contador - decodificador, dado en la sección de recursos de este laboratorio. Compruebe su correcto funcionamiento. b) Implemente el sistema descrito en el punto b) de la parte previa. c) Pruebe en forma independiente cada módulo descrito (y los que Ud. haya considerado conveniente agregar). d) Verifique el funcionamiento del sistema completo de acuerdo a las especificaciones señaladas. e) Modifique el diseño de manera que la salida serial sea por dos pines: el pin de datos, que comience con un bit de partida en cero, luego siga desde el bit más significativo al menos significativo, agregue un bit paridad par y un bit de fin en uno; mientras que en el otro pin se incluya un reloj que permita distinguir los bits datos, de forma similar al protocolo PS/2. Laboratorio de Sistemas Digitales. Prof. L. Silva B. R. Aguilera R

Laboratorio 5: Diseño Jerárquico de Sistemas Digitales

Laboratorio 5: Diseño Jerárquico de Sistemas Digitales Laboratorio 5: Diseño Jerárquico de Sistemas Digitales Objetivos: - Conocer la forma de comunicación que existe entre el teclado y su controlador (PS/2). - Conocer los scan-codes y aplicar técnicas de

Más detalles

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Objetivos Específicos: - Aplicar sentencias específicas del lenguaje ABEL para describir registros

Más detalles

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA UTFSM Noviembre 2003 APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA 1. INTRODUCCIÓN En este documento se encuentra toda la información

Más detalles

Preparación: Para el diseño secuencial será necesario que utilices una señal de reloj. Para generar este pulso de reloj, refiérete a la práctica 2.

Preparación: Para el diseño secuencial será necesario que utilices una señal de reloj. Para generar este pulso de reloj, refiérete a la práctica 2. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 3 ISIS de Proteus para simulación Diseño de máquinas de estado con ABEL-HDL Dr. Juan Carlos Herrera Lozada. jlozada@ipn.mx Centro de Innovación y Desarrollo

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Aprender el uso de dispositivos controlados por una FPGA en una tarjeta de desarrollo. Conocer la interfaz PS/2, el protocolo de comunicación y

Más detalles

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012 LAB 5 Tarjeta de Desarrollo Spartan-3 Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012 Objetivos Generales Usar la interfaz serial sincrónica PS/2 como dispositivo de entrada de datos.

Más detalles

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010 LAB 5 Tarjeta de Desarrollo Spartan-3 Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010 Objetivos Generales Controlar dispositivos mediante una FPGA. Conocer la interfaz PS/2 para conexión

Más detalles

Laboratorio 02. Ambiente de Mediciones Digitales

Laboratorio 02. Ambiente de Mediciones Digitales Laboratorio 02. Ambiente de Mediciones Digitales Objetivos : - Conocer y utilizar con propiedad osciloscopio de señal mixta. - Manejar con propiedad los conceptos de sincronización, disparo, nivel de disparo,

Más detalles

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

Lattice isplever. Características. Gestión de proyectos

Lattice isplever. Características. Gestión de proyectos Lattice isplever Características Conjunto de herramientas para el diseño con CPLD y FPGA Versión isplever starter gratuita (licencia 6 meses) Entorno de desarrollo integrado -> incluye Gestión de proyectos

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Usar la interfaz serial sincrónica PS/2 como dispositivo de entrada de datos. Diseñar un multiplexor con división de tiempo para manejo de display

Más detalles

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Una Máquina de estados es un dispositivo digital que atraviesa una predeterminada secuencia de estados. Las máquinas de estados se usan típicamente para

Más detalles

Armado de un microcomputador, basado en microcontrolador 8031

Armado de un microcomputador, basado en microcontrolador 8031 Armado de un microcomputador, basado en microcontrolador 8031 Objetivos. Conocer la forma en que están interconectadas la memoria, el controlador, y el CPLD, en la tarjeta de desarrollo. Entender con detalles

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Como vimos en la unidad anterior, un sistema combinatorio se identifica porque la salida del sistema

Más detalles

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 1 isplever: ABEL HDL, Diseño Lógico Combinatorio. jlozada@ipn.mx Centro de Innovación y Desarrollo Tecnológico en Cómputo Lab. de Diseño de Sistemas Digitales

Más detalles

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada ABEL Para Síntesis Dr. Juan C. Herrera Lozada jlozada@ipn.mx Caso de Estudio 1: Diseño Combinacional ABEL permite la descripción de circuitos mediante tablas de verdad, ecuaciones y diagramas de estado.

Más detalles

Laboratorio 10. Controlador VGA

Laboratorio 10. Controlador VGA Laboratorio 10. Controlador VGA Objetivo Principal: Aplicar los contenidos vistos sobre máquinas secuenciales y diagramas de temporización (laboratorio 5) para desarrollar una interfaz que controle la

Más detalles

Laboratorio 4. Objetivos

Laboratorio 4. Objetivos DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 4 Objetivos Interpretación de información especificada en hojas de datos o especificaciones de diseño Utilización de

Más detalles

Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language).

Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language). Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language). 1.Lenguaje Abel. 2.Estructura básica de un programa Abel. 3.Links y referencias 2.1 Encabezado. 2.2 Declaraciones. 2.3 Descripción

Más detalles

Problemario Electrónica Digital

Problemario Electrónica Digital Ejercicios de C.L.S.S ( Moore y Mealy), Registros y Memoria 1. Realice un circuito secuencial síncrono que tenga dos modos de operación: M=0 el circuito contara 0,1,3,2,5,4,7,6,0,1... M=1 el circuito contara

Más detalles

Montaje y evaluación de sistemas digitales combinacionales.

Montaje y evaluación de sistemas digitales combinacionales. PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de:

Más detalles

Diseño electrónico digital integrado: Back to basics. Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid

Diseño electrónico digital integrado: Back to basics. Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid Diseño electrónico digital integrado: Back to basics Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid Flip-flop D con Reset Asíncrono Captura un dato con el flanco de reloj

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

TRAB.PRÁCTICO Nº 1: INTRODUCCIÓN A LAS TÉCNICAS DIGITALES

TRAB.PRÁCTICO Nº 1: INTRODUCCIÓN A LAS TÉCNICAS DIGITALES OBJETIVOS: A partir de los conocimientos adquiridos en las asignaturas previas ( Elementos de Informática y Elementos de Lógica y Matemática Discreta ) relacionados con el Álgebra de Boole y funciones

Más detalles

MÓDULO Nº7 REGISTROS Y CONTADORES

MÓDULO Nº7 REGISTROS Y CONTADORES MÓDULO Nº7 REGISTROS Y CONTADORES UNIDAD: LÓGICA SECUENCIAL TEMAS: Registros. Contadores. OBJETIVOS: Explicar que es un registro, su clasificación y sus principales características. Explicar que es un

Más detalles

Módulo 2 n. Figura 2.1. Simbología de un contador

Módulo 2 n. Figura 2.1. Simbología de un contador Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar el número de pulsos que llegan a su entrada de reloj. En muchas ocasiones

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

PRACTICAS GAL22V10. Utilizar la placa PLD (GAL22V10), compilador de programas IspDesignExpert y programa de grabación del dispositivo IspVMSystem.

PRACTICAS GAL22V10. Utilizar la placa PLD (GAL22V10), compilador de programas IspDesignExpert y programa de grabación del dispositivo IspVMSystem. Práctica 1: Trabajo con operadores lógicos Objetivo: Operadores Lógicos Utilizar la placa PLD (GAL22V10), compilador de programas IspDesignExpert y programa Realizar un croquis en papel reseñando claramente

Más detalles

Dado el siguiente circuito digital, encontrar la tabla característica y la tabla de operación del flip-flop correspondiente

Dado el siguiente circuito digital, encontrar la tabla característica y la tabla de operación del flip-flop correspondiente Un flip-flop "S-R Set-dominante" difiere del flip-flop S-R normal en que cuando S y R están a valor lógico 1 simultáneamente se realiza la operación de Set. Obtener la tabla de operación, la tabla característica

Más detalles

----*************************************************************************

----************************************************************************* library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use UNISIM.VComponents.all; ----********************************************************************

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Aprender el uso de un osciloscopio de señal mixta. Conocer y comprender los conceptos de prueba estática y prueba dinámica Medir tiempos de retardo en compuertas

Más detalles

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo.

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo. TITULO : Biestables, Monoestables y Astables 1.-Objetivos: Practica 1 (3.5 %) Estudiar y analizar el comportamiento de los biestables asíncronos y sincronos. Realizar montajes con diferentes tipo de Monoestables.:

Más detalles

Universidad De San Carlos De Guatemala Facultad de Ingeniería Escuela de Ciencias y Sistemas Organización Computacional Ing. Aux.

Universidad De San Carlos De Guatemala Facultad de Ingeniería Escuela de Ciencias y Sistemas Organización Computacional Ing. Aux. Universidad De San Carlos De Guatemala Facultad de Ingeniería Escuela de Ciencias y Sistemas Organización Computacional Ing. Otto Escobar Aux. Ricardo Alfredo Sontay Aguilar Examen Final Descripción Para

Más detalles

5.1. Introducción a los sistemas digitales

5.1. Introducción a los sistemas digitales 5.. Introducción a los sistemas digitales 5... Sistemas digitales [ Wakerly.2 pág. 3] 5..2. Puertas y señales lógicas [ Wakerly.3 pág. 6] 5..3. Representación de la lógica digital [ Wakerly. pág. 9] 5..4.

Más detalles

13-Bloques Básicos Secuenciales

13-Bloques Básicos Secuenciales 3-Bloques Básicos Secuenciales 3. Bloques básicos 3.2 Ejemplos de diseños 3: Bloques Básicos Bloques Básicos Secuenciales Bloques básicos secuenciales Contadores Registros de desplazamiento (o corrimiento)

Más detalles

Multiplexor de 3 a 1 Cuádruple con una GAL

Multiplexor de 3 a 1 Cuádruple con una GAL Multiplexor de 3 a 1 Cuádruple con una GAL Se ilustra el diseño de un multiplexor de tres entradas a una sola salida. La multiplexión significa transmitir un número grande de entradas con información digital

Más detalles

4.7 Aplicaciones usando la tarjeta Spartan 3E

4.7 Aplicaciones usando la tarjeta Spartan 3E 4.7 Aplicaciones usando la tarjeta Spartan 3E USO DE LOS PERIFERICOS DEL SISTEMA DE DESARROLLO SPARTAN 3E Instructor: MC. Rodrigo Lucio Maya Ramírez Uso del LCD Operación escritura Operación lectura Inicialización

Más detalles

Laboratorio 8: Controlador VGA

Laboratorio 8: Controlador VGA Objetivos: Laboratorio 8: Controlador VGA - Aplicar sentencias específicas del lenguaje ABEL para describir sistemas secuenciales sincrónicos y asincrónicos. - Estudiar especificaciones de monitores tipo

Más detalles

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS 1. DISPOSITIVOS SECUENCIALES Los circuitos biestables son aquellos que poseen dos estados estables que se pueden mantener por tiempo indefinido, lo que nos

Más detalles

Enlaces Seriales UART, I2C, SPI

Enlaces Seriales UART, I2C, SPI Comunicaciones, Enlaces Seriales UART, I2C, SPI (Preparen Papel y Lápiz) Diagrama de Bloques Fecha y Hora Entradas Procesamiento Procesamiento Comunicación Comunicación Universidad TransmisiónNacional

Más detalles

Lógica Secuencial. Dr. Andrés David García García Escuela de Ingeniería y Ciencias Campus Estado de México

Lógica Secuencial. Dr. Andrés David García García Escuela de Ingeniería y Ciencias Campus Estado de México Lógica Secuencial Escuela de Ingeniería y Ciencias Campus Estado de México Diferencias entre el mundo combinatorio y el mundo secuencial Hasta el momento, todos los circuitos que hemos diseñado e implementado

Más detalles

Proyecto de Electrónica. Contador digital de 0 a 9

Proyecto de Electrónica. Contador digital de 0 a 9 Proyecto de Electrónica Contador digital de 0 a 9 La finalidad del proyecto consiste en mostrar en un display un conteo de cero a nueve, donde la velocidad de conteo podrá ser regulada. Componentes a utilizar

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI Sistemas Digitales TRABAJO PRÁCTICO Nº 4 Sistemas combinacionales MSI Ejercicio Nº 1: Se desea transmitir datos de dos bits con control de paridad impar. Utilice un decodificador para diseñar un circuito

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

P1.0,... P1.7 7 EN3 EN2

P1.0,... P1.7 7 EN3 EN2 Ing. O. Richer Microprocesadores I Práctica #7 Manejo de un Display Trabajo en grupo. Conecte al microcontrolador 8051 un display formado por 5 dígitos a base de LED s de siete segmentos, como se muestra

Más detalles

Ud debe controlar el flujo de información en una interface paralela CENTRONIX, que opera a 60 KB/seg.

Ud debe controlar el flujo de información en una interface paralela CENTRONIX, que opera a 60 KB/seg. FACULTAD CÓRDOBA DEPARTAMENTO ELECTRÓNICA CATEDRA DE TÉCNICAS DIGITALES II Examen final 12 de febrero de 1998 Ud debe controlar el flujo de información en una interface paralela CENTRONIX, que opera a

Más detalles

Lenguaje ABEL. Introducción. Estructura de un archivo fuente ABEL. Estructura de un archivo fuente ABEL

Lenguaje ABEL. Introducción. Estructura de un archivo fuente ABEL. Estructura de un archivo fuente ABEL Lenguaje ABL Introducción structura de un archivo fuente ABL Formato Declaraciones Operadores Conjuntos Descripción lógica cuaciones Tablas Diagramas de estados Vectores de test Introducción ABL significa

Más detalles

Esperá que lo anoto, sino me olvido

Esperá que lo anoto, sino me olvido Jorge Aliaga Verano 23 Esperá que lo anoto, sino me olvido Además de hacer operaciones con datos, como se mostró en la práctica 5, para poder hacer cálculos es necesario tener un mecanismo que almacene

Más detalles

Laboratorio 5: Semáforo de dos Vías

Laboratorio 5: Semáforo de dos Vías Laboratorio 5: Semáforo de dos Vías Objetivo. Aplicar los conocimientos teóricos adquiridos en clases sobre máquinas de estados secuenciales mediante la implementación práctica de un semáforo de cuatro

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

Tema 2: Circuitos Secuenciales

Tema 2: Circuitos Secuenciales Tema 2: Circuitos Secuenciales Contenidos 2. Introducción 2.2 Descripción de un Sistema Secuencial 2.3 Elementos con Memoria 2.4 Análisis y Síntesis de Circuitos Secuenciales 2. Introducción Diagrama de

Más detalles

Es un lenguaje para describir diseños de sistemas digitales en forma jerárquica. Advanced Boolean Equation Language.

Es un lenguaje para describir diseños de sistemas digitales en forma jerárquica. Advanced Boolean Equation Language. Apéndice 2 1 Uso de Abel A2.1 Introducción La minimización de funciones booleanas basada en mapas de Karnaugh se torna impracticable a medida que el número de variables aumenta; no es recomendable usar

Más detalles

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017 LABORATORIO DE SISTEMAS DIGITALES PRÁCTICA No. 8 Fecha: 03/07/2017-07/07/2017 Escuela Politécnica nacional Tema: FLIP-FLOPS 1. Objetivos: Familiarizar al estudiante con la utilización y funcionamiento

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

Prof: Zulay Franco Puerto Ordaz, Agosto

Prof: Zulay Franco Puerto Ordaz, Agosto Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar, en binario, el número de pulsos que llegan a su entrada de reloj.

Más detalles

APLICACIÓN DE ANALIZADORES LÓGICOS EN EXPERIENCIAS DE LABORATORIO. TUTORIAL PARA EL USO DEL ANALIZADOR LÓGICO

APLICACIÓN DE ANALIZADORES LÓGICOS EN EXPERIENCIAS DE LABORATORIO. TUTORIAL PARA EL USO DEL ANALIZADOR LÓGICO APLICACIÓN DE ANALIZADORES LÓGICOS EN EXPERIENCIAS DE LABORATORIO. TUTORIAL PARA EL USO DEL ANALIZADOR LÓGICO Resumen: El presente documento tiene como objetivo explicar qué es un analizador lógico, en

Más detalles

Practica 9 Estilos de codificar a estados FCE

Practica 9 Estilos de codificar a estados FCE Practica 9 Estilos de codificar a estados FCE2015-04-07 Parte 1. Diagramas de estado con VHDL Parte2. Cartas ASM con VHDL Introducción En el diseño de sistemas digitales, una parte importante es poder

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3 ELECTRÓNICA DIGITAL Ejercicios propuestos Tema Ejercicio. Convertir a binario natural, los siguientes números expresados en formato decimal. Puedes predecir a priori los bits que necesitarás para la representación

Más detalles

Arquitectura del Procesador I

Arquitectura del Procesador I Arquitectura del Procesador I PRACTICO Nº 2 Circuitos Secuenciales Ejercicio 21 (a): Se necesita crear un circuito que divida por 3 una frecuencia de reloj. Si consideramos que el funcionamiento de todo

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales.

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales. Otros circuitos digitales En esta unidad aprenderás: El funcionamiento de los codificadores y decodificadores Multiplexor y Demultiplexor Convertidor Digital-Análogo y Análogo-Digital UNIDAD 4 Actividad

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA ELO212 Laboratorio de Sistemas Digitales

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA ELO212 Laboratorio de Sistemas Digitales Objetivo Principal: Laboratorio 4. Redes Combinacionales II Diseñar y verificar redes combinacionales más complejas, en cuanto a tamaño y número de variables, utilizando dispositivos más complejos que

Más detalles

Dispositivos de Memoria

Dispositivos de Memoria Práctica No. 2 Dispositivos de Memoria Datos de la práctica Carrera INGENIERIA ELECTRONICA Semestre Grupo Tipo Practica Laboratorio Simulación Fecha Asignatura Unidad Temática No Alumnos por practica 2

Más detalles

Decodificador de Direcciones de Memoria en una GAL

Decodificador de Direcciones de Memoria en una GAL Decodificador de Direcciones de Memoria en una GAL La decodificación de memoria es una aplicación típica de los dispositivos lógicos programables, y la siguiente describe la implementación con ABEL-HDL

Más detalles

PRÁCTICAS DE LAS ASIGNATURAS DE ELECTRÓNICA DIGITAL, ESTRUCTURA DE COMPUTADORES Y DISEÑO DE SISTEMAS MICROCOMPUTADORES (SÍNTESIS MEDIANTE PLD S)

PRÁCTICAS DE LAS ASIGNATURAS DE ELECTRÓNICA DIGITAL, ESTRUCTURA DE COMPUTADORES Y DISEÑO DE SISTEMAS MICROCOMPUTADORES (SÍNTESIS MEDIANTE PLD S) PRÁCTICAS DE LAS ASIGNATURAS DE ELECTRÓNICA DIGITAL, ESTRUCTURA DE COMPUTADORES Y DISEÑO DE SISTEMAS MICROCOMPUTADORES (SÍNTESIS MEDIANTE PLD S) C. Diego Moreno, F. Javier Quiles, Manuel A. Ortiz, Joaquín

Más detalles

PRÁCTICA 4. Montaje y evaluación de sistemas secuenciales.

PRÁCTICA 4. Montaje y evaluación de sistemas secuenciales. Tiempo: 2 semanas 1.- Objetivos: Laboratorio de Fundamentos de tecnología de Computadores. PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales. El objetivo de este módulo es familiarizar al alumno

Más detalles

Diseño Lógico en el Mundo Real. Tema V. ABEL (Advanced Boolean Equation Language) Lenguajes de programación de PLD

Diseño Lógico en el Mundo Real. Tema V. ABEL (Advanced Boolean Equation Language) Lenguajes de programación de PLD Circuitos Digitales I Tema V Lenguajes ABEL y PLAN PLDs (Programmable Logic Devices) Estándares de documentación Circuitos MSI Diseño Lógico en el Mundo Real! Circuitos con mucho más de 6 entradas No es

Más detalles

Tema V. Diseño Lógico en el Mundo Real

Tema V. Diseño Lógico en el Mundo Real Circuitos Digitales I Tema V Lenguajes ABEL y PLAN PLDs (Programmable Logic Devices) Estándares de documentación Circuitos MSI Luis Tarazona, UNEPO Barquisimeto EL-3213 Circuitos Digitales I - 2004 158

Más detalles

Lógica Programable - AHDL - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable - AHDL - Introducción n a los Sistemas Lógicos y Digitales 2008 - - Introducción n a los Sistemas Lógicos y Digitales 2008 HDL - Hardware Description Language - ( Lenguaje de Descripción de Hardware) Qué es HDL? Es una herramienta formal para describir la estructura

Más detalles

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R.

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Arquitecturas de Computadores 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Temario 1. Introducción 2. Sistemas Combinacionales (SC) 3. Implantación de SC mediante PLA 4. Sistemas Secuenciales

Más detalles

RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 PIC 16F87X. Figura # 1

RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 PIC 16F87X. Figura # 1 UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA "ANTONIO JOSÉ DE SUCRE" DEPARTAMENTO DE ELECTRÓNICA Ejercicios para el parcial # 2 Prof. Ing. Antonio Pateti 1.- Estudie el hardware del Puerto B y explique:

Más detalles

FUNDAMENTOS DE COMPUTADORES

FUNDAMENTOS DE COMPUTADORES Departamento de Tecnología Electrónica ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA 1º Ingeniería Informática FUNDAMENTOS DE COMPUTADORES Enunciados de las Prácticas de Laboratorio PROGRAMA 2007/2008

Más detalles

Lógica Digital. Circuitos Secuenciales. Francisco García Eijó. Organización del Computador I Departamento de Computación - FCEyN UBA

Lógica Digital. Circuitos Secuenciales. Francisco García Eijó. Organización del Computador I Departamento de Computación - FCEyN UBA Lógica Digital Circuitos Secuenciales Francisco García Eijó Organización del Computador I Departamento de Computación - FCEyN UBA 5 de Abril del 2016 Agenda 1 Repaso 2 Introducción 3 Flip-Flops 4 Ejercicios

Más detalles

Organización del Computador I. David Alejandro González Márquez

Organización del Computador I. David Alejandro González Márquez Lógica Digital - Circuitos Secuenciales Organización del Computador I David Alejandro González Márquez Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires 11.02.2011

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

Lógica secuencial. Biestables

Lógica secuencial. Biestables Universidad Rey Juan Carlos Lógica secuencial. Biestables Norberto Malpica norberto.malpica@urjc.es Dpto. Tecnología Electrónica Lógica secuencial. Biestables 1 Esquema 1. Introducción a los sistemas secuenciales

Más detalles

Lógica Digital - Circuitos Secuenciales

Lógica Digital - Circuitos Secuenciales Lógica Digital - Circuitos Secuenciales Organización del Computador I Diego Fernández Slezak (gracias David y Fran!) Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de

Más detalles

IIM Aportación al perfil. Esta asignatura proporciona al alumno las competencias necesarias para:

IIM Aportación al perfil. Esta asignatura proporciona al alumno las competencias necesarias para: 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: SATCA 1 Instrumentación Avanzada Ingeniería Electrónica IIM-1305 2-4-6 2.- PRESENTACIÓN Caracterización de la asignatura.

Más detalles

TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009.

TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009. TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009. EJERCICIO 1 (1,0 punto). El suministro de energía eléctrica de dos ciudades (ver figura) está gestionado

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Oscar Ignacio Botero H. Codificadores y Decodificadores. CODIFICADORES Y DECODIFICADORES

Oscar Ignacio Botero H. Codificadores y Decodificadores. CODIFICADORES Y DECODIFICADORES Oscar Ignacio otero H. ODIFIDORES Y DEODIFIDORES La codificación y decodificación es el proceso de asignar a cada entrada una combinación única de bits. ODIFIDOR (ENODER) Son circuitos combinacionales

Más detalles

Laboratorio 5: Circuito contador digital y conversor D/A.

Laboratorio 5: Circuito contador digital y conversor D/A. Electrónica y Automatización Año 0 Laboratorio : Circuito contador digital y conversor D/A. Se proveerá al alumno del siguiente circuito, armado sobre una placa de circuito impreso. En el laboratorio el

Más detalles

UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA

UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA CAMPUS ENSENADA FACULTAD DE INGENIERÍA ARQUITECTURA Y DISEÑO MANUAL DE PRÁCTICAS DE LABORATORIO DE CIRCUITOS DIGITALES AVANZADOS ELABORADO POR : PROFESOR ABRAHAM

Más detalles

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Alumnos: Chávez Ordoñez César Alejandro Garduño Aguilar Natanael Jesua Profesora: M.I. Norma Elva Chávez

Más detalles

2. Biestables asíncronos. Biestables R-S. Tecnología Industrial II. Tema 4.- Elementos básicos de un circuito secuencial.

2. Biestables asíncronos. Biestables R-S. Tecnología Industrial II. Tema 4.- Elementos básicos de un circuito secuencial. . Clases de circuitos secuenciales. Los circuitos secuenciales pueden ser asíncronos o síncronos. Un circuito secuencial es asíncrono cuando los cambios de estado tienen lugar cuando están presentes las

Más detalles

PRÁCTICA 2: SISTEMAS DIGITALES

PRÁCTICA 2: SISTEMAS DIGITALES 1 OBJETIVOS: PRÁCTICA 2: SISTEMAS DIGITALES 1.1 Manejo de un software de simulación 1.2 Desarrollo de un Sistema Digital sencillo con componentes reales. 2 ESTUDIO TEÓRICO: Se pretender realizar varios

Más detalles

Laboratorio 8: Controlador VGA

Laboratorio 8: Controlador VGA Objetivos: Laboratorio 8: Controlador VGA Estudiar especificaciones de monitores tipo VGA. Usar la unidad de administración de reloj digital DCM, disponible en la FPGA Spartan 3 de Xilinx, para generación

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015

Más detalles

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN LISTADO DE PRÁCTICAS CURSO 2005/2006 Practicas de Fundamentos de Computadores (05/06) 2 Práctica 1 Construcción de Funciones Lógicas

Más detalles

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0 PRACTICAS DE GAL S Software WinCupl 5.0 10 PRACTICAS DE GAL S (22V10) 1.- Compuerta and de dos entradas. 2.- Una compuerta or y una compuerta and de dos entradas. 3.- Decodificador de binario a decimal.

Más detalles