INTRODUCCIÓN ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

Tamaño: px
Comenzar la demostración a partir de la página:

Download "INTRODUCCIÓN ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica"

Transcripción

1 INTRODUCCIÓN ISE (XILINX). Facultad: Ingeniería. Escuela: Electrónica. Asignatura: Sistemas Digitales II. Lugar de Ejecución: Microprocesadores (3.23). Objetivo General. Utilizar el editor de archivos de Xilinx para sintetizar, corroborar la sintaxis y probar los códigos VHDL para los ejemplos propuestos. Objetivo Específicos. Utilizar la herramienta ISE de Xilinx para la escritura de archivos VHDL. Sintetizar el código de los archivos con extensión.vhd para obtener la abstracción del código escrito en forma de circuito digital, representación de tablas de verdad, funciones lógicas y mapas de Karnaugh. Interpretar los diagramas de tiempos generados a partir de códigos VHDL de los Test Bench. Verificar el funcionamiento de cada archivo de código VHDL en la tarjeta Spartan 6 LX9-MicroBoard. Material y equipo. Computadora con ISE 4.7 instalado. tarjeta Spartan 6 - LX9-MicroBoard. tarjeta de entradas / salidas (E/S o I/O). tarjeta con display. cables (jumpers) macho macho. Tarea previa.. Leer el blog: archive.html. 2. Ver los videos: ISE Circuit: Intro ISE: ISE TESTBENCH: Introducción teórica Pasos para la creación de aplicaciones.. Esquematizar mental o gráficamente el circuito que se pretende desarrollar. 2. Crear el proyecto conforme a las especificaciones de la tarjeta con su respectiva FPGA (ver figura 6 y 7). 3. Escribir el código vhdl.

2 Sintetizar el código. Verificar el diagrama esquemático. Realizar el test bench para el archivo vhdl (simular el comportamiento del sistema). Asignar las variables según las especificaciones de pines de la tarjeta que se posea. Realizar el Place &Route. Generar el Programming File. Descargar el archivo con extensión.bit sobre la FPGA. Realizar las pruebas de funcionamiento. Figura : Vista superior e inferior de tarjeta Spartan 6 LX9 Microboard. Figura 2: Descripción de pines.

3 3 Procedimiento. Parte I: Creación de proyectos con ISE para Spartan-6 LX9 CSG324. Se realizará el proyecto para un decodificador BCD a siete segmentos, tal como el propuesto en la figura 3: Figura 3: Circuito a generar con el VHDL. ) Dé un clic en el icono de ISE en la barra de lanzadores a la izquierda del escritorio. 2) En la barra de menús seleccione File/New Project. Aparecerá la ventana de opciones de un nuevo proyecto (New Project Wizard), ver figura 4. 3) Introduzca el nombredel proyecto como Deco7segCC, navegue para encontrar la ubicación donde guardará el proyecto (Location), como se muestra en la figura 4 y seleccione tipo HDL desde la opción Top-level source type y presione el botón Next, lo cual desplegará la ventana de la figura 5. 4) Seleccione las opciones de la figura 5 (conforme a la tarjeta sobre la que desarrollará la aplicación, en este caso las tarjetas Spartan 6 LX9 Microboard) y luego presione el botón Next lo que desplegará la ventana de la figura 6. Property Name Family Device Package Speed Preferred language VHDL Source Analysis Standard Value Spartan6 XC6SLX9 CSG324-2 VHDL VHDL-2X

4 4 Figura 4: Creación de un nuevo proyecto, pasos 2) y 3). Figura 5: Creación de nuevo proyecto, paso 4). 5) Presione el botón Finish para crear el proyecto. 6) Dé clic derecho sobre la segunda línea (xc6slx9-2csg324) del cuadro superior izquierdo de la ventana de navegación del proyecto (figura 7). 7) Elija la opción New Source

5 5 Figura 6: Configuración de nuevo proyecto, paso 4). Figura 7: Creación de archivos, pasos 6) y 7). 8) En la ventana New Source Wizard, seleccione la opción VHDL Module, colóquele un nombre al archivo en el campo File Name:, este será el nombre que adopte la entidad dentro de nuestro bloque o circuito a describir, verifique que el check box Add to Project esté activo y dé clic en el botón Next tal como lo indica la figura 6.

6 6 Figura 8: Creación de archivos, paso 8). 9) Como puede observar en la figura 9 ya aparece el nombre de la entidad, coloque en las filas los nombres de las variables de entrada (Data_In [3,]) y salida (Data_Out[7,]) de su sistema, así como la longitud de los vectores o buses a utilizar (considerando que la posición cuenta como valor). Con la lista desplegable elija la dirección del flujo de datos (in, out o inout) tal como lo indica la figura 7 y dé clic en el botón Next. Figura 9: Creación de archivos, paso 9), elección de entradas y salidas del componente. ) La figura muestra un breve resumen de los atributos seleccionados en la creación del modulo VHDL. Dé clic izquierdo en el botón Finish.

7 7 Figura : Creación de archivos, paso ). ) Se mostrará en el editor de código VHDL de ISE, en dicha ventana modifique el código VHDL para que se vea igual al mostrado a continuación (Código ), tome en cuenta la conexión de la FPGA (ver figura 3 y ) y la tabla : library IEEE; use IEEE.STD_LOGIC_64.ALL; entity Deco7segCC is Port ( Data_In : in STD_LOGIC_VECTOR (3 downto ); Data_Out : out STD_LOGIC_VECTOR (7 downto )); end Deco7segCC; architecture Behavioral of Deco7segCC is begin Data_Out <= x FC when Data_In = else x 6 when Data_In = else x DA when Data_In = else x F2 when Data_In = else x 66 when Data_In = else x B6 when Data_In = else x BE when Data_In = else x E when Data_In = else x FE when Data_In = else x E6 when Data_In = else x 9E ; end Behavioral; Código : Código VHDL, archivo Deco7segCC.vhd, paso ). Nota: Se debe recordar que la combinación de datos de salida debe estimular cada segmento del display cátodo común.

8 8 GND R 2.2k Q Q DISP2 DISP 2N3439 R2 2.2k Gnd Gnd Q2 Q2 2N3439 abcdefg. abcdefg. R3 k a b c d e f g DP R4 k Figura : Conexiones de display de siete segmentos (tarjeta I/O). Entradas Salidas (Data_Out<7:>) D8 D7 G4 F4 C8 C7 F6 F5 Data_In<3:> Display Hex_Value a b c d e f g DP FC 6 DA 2 F B6 5 BE 6 E 7 FE 8 E6 9 xxxx 9E E Tabla: Valores a escribir en el display de siete segmentos (tarjeta I/O). Parte II: Sintetizar el código. Este proceso permite: Verificar la sintaxis del código VHDL escrito. La conversión del código VHDL a un circuito digital equivalente ya sea a LUT s o circuitos MSI. Abrir una vista del circuito digital equivalente al código VHDL escrito. 2) De clic izquierdo sobre el nombre del archivo VHDL debajo de la línea xc6slx9-2csg324, del cuadro superior izquierdo de la ventana de navegación del proyecto (figura 7) para habilitar las funciones de este archivo en el cuadro inferior.

9 9 3) En el cuadro inferior izquierdo, de clic derecho sobre la opción Synthetize XST, elija la opción Run del menú desplegable. Figura 2: Sintetizar código VHDL, pasos2) y 3). Para verificar el diagrama esquemáticodetallado. 4) Dé clic izquierdo sobre el nombre del archivo VHDL debajo de la línea xc6slx9-2csg324, del cuadro superior izquierdo de la ventana de navegación del proyecto (figura 7), para habilitar las funciones de este archivo en el cuadro inferior. 5) De doble clic izquierdo sobre la opción View Technology Schematic, ver figura 3. Figura 3:Verificar el diagrama esquemático detallado, paso 5). 6) Dé clic izquierdo en el botón OK de la figura 4. 7) En la ventana desplegable dé clic en el botón Add -> y luego el botón Create Schematic (figura 5). 8) Dé doble clic izquierdo sobre la caja de cuatro entradas (Data_In (3:)) y ocho salidas (Data_Out (7:)). 9) Dé clic izquierdo en el icono Zoom to Full View.

10 Figura 4: Verificar el diagrama esquemático detallado, paso 6). Figura 5: Verificar diagrama esquemático simplificado, paso 7). 2) Dé doble clic izquierdo sobre cada una de las cajas que tengan la palabra LUT incluida y verifique cada una de las opciones. Verificar el diagrama esquemático de un circuito complejo (abstracción del diseño): 2) Dé clic izquierdo sobre el nombre del archivo VHDL debajo de la línea xc6slx9-2csg324, del cuadro superior izquierdo de la ventana de navegación del proyecto (figura 7), para habilitar las funciones de este archivo en el cuadro inferior. 22) Dé doble clic izquierdo sobre la opción View RTL Schematic, ver figura 3. 23) En la ventana (figura 6) que se despliegue presione el botón Ok. 24) Dé clic en el botón Add -> y luego el botón Create Schematic (figura 7). LUT: Look-Up Tables (Tabla de consulta).

11 Figura 6: Verificar diagrama esquemático, paso 23). 25) Se desplegará una figura de bloque que al hacer doble clic izquierdo sobre la misma mostrará el circuito equivalente al código VHDL. Figura 7: Verificar diagrama esquemático, paso 25). Realizar el test bench para el archivo vhdl (simular el comportamiento del sistema). 26) De clic derecho sobre la segunda línea (xc6slx9-2csg324) del cuadro superior izquierdo de la ventana de navegación del proyecto (figura 7). 27) Elija la opción New Source 28) En la ventana New Source Wizard, seleccione la opción VHDL Test Bench, colóquele un nombre al archivo en el campo File Name:,la extensión del archivo será.vhd al igual que al archivo del código fuente en VHDL por lo que si escribe el mismo nombre para el test bench

12 2 estará intentando sobre escribir el código VHDL, por lo que se recomienda agregar _tb al final del nombre del archivo del test bench para diferenciarlo del código VHDL. 29) Verifique que el check box Add to Project este activo y de clic en el botón Next tal como lo indica la figura 8. Figura 8: Creación de archivo test bench, pasos 28) y 29). 3) De clic izquierdo en el botón Next -> de la figura 9. Figura 9: Creación de archivo test bench, paso 3). 3) Para finalizar de clic izquierdo en el botón Finish de la figura 2.

13 3 Figura 2: Creación de archivo test bench, paso 3). 32) Comente o elimine las partes referidas al clock porque el circuito de la figura 3 no utiliza el reloj. De tal manera que el código VHDL del Test Bench se vea como el siguiente: LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY Deco7segCC_tb IS END Deco7segCC_tb; ARCHITECTURE behavior OF Deco7segCC_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Deco7segCC Port ( Data_In : in std_logic_vector(3downto); Data_Out : out std_logic_vector(7downto) ); END COMPONENT; --Inputs Signal Data_In: std_logic_vector(3downto); --Outputs Signal Data_Out : std_logic_vector(7downto); BEGIN -- Instantiate the Unit Under Test (UUT) uut: Deco7segCC PORT MAP ( Data_In =>Data_In, Data_Out =>Data_Out, ); -- Stimulus process stim_proc: process begin -- hold reset state for ns.

14 4 Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; Data_In <= ; wait for ns; wait; end process; END; Código 2: Código VHDL del Test Bench, archivo SDI_G_EJ_tb.vhd, paso 32). 33) De clic izquierdo en el botón de opción Simulation en el cuadro superior izquierdo. 34) Seleccione el nombre del archivo del test bench: Deco7segCC_tb (este es el nombre del ejemplo que se está realizando) tal como lo indica la figura 2. Figura 2: Creación de archivo test bench, pasos 33) al 35).

15 5 35) Luego en el cuadro inferior izquierdo de clic derecho sobre la opción Behavioral Check Syntax y elija la opción Run tal como se muestra en la figura 2. Si usted no ha guardado el archivo antes de correr esta opción le pedirá guardar el archivo del test bench a lo que deberá contestar en el botón Yes. 36) En el cuadro inferior izquierdo de clic derecho sobre la opción Simulate Behavioral Model y elija la opción Process Properties Esto abrirá una ventana en la que fijaremos el valor de Simulation Run Time a 32 ns, tal como se indica en la figura 22. Figura 22: Asignación de tiempo a simular en el Test Bench, paso 36). 37) En el cuadro inferior izquierdo de clic derecho sobre la opción Simulate Behavioral Model y elija la opción Run. Esto abrirá el software ISim donde podrá corroborar las respuestas en el diagrama de tiempos de la figura ) De clic en el icono Zoom to Full View de ISim y verifique que a medida que las entradas (data_in(3:)) toman valores cada ns las salidas(data_out(7:)) obtienen el valor correspondiente al asignado en la tabla. Figura 23: Creación de archivo test bench, pasos 37) al 39).

16 6 39) Dé clic derecho sobre alguno de los vectores y en el menú contextual elija la opción Radix>>Hexadecimal para visualizar los datos escritos en el VHDL. Parte III: Programar la tarjeta Spartan 6 LX9 Microboard. 4) Déclic izquierdo en el botón de opción Implementation en el cuadro superior izquierdo. 4) Dé clic derecho sobre el nombre del archivo del VHDL: Deco7segCC. 42) Elija la opción New Source 43) En la ventana New Source Wizard, seleccione la opción Implementation Constraints File, colóquele un nombre al archivo en el campo File Name:, la extensión del archivo será.ucf. Verifique que el check box Add to Project este activo y de clic en el botón Next tal como lo indica la figura ) Para finalizar el cuadro de dialogo dé clic izquierdo en el botón Finish de la figura 25. Figura 24: Creación de archivo user constraint file, paso 43). Figura 25: Creación de archivo user constraint file, paso 44).

17 7 45) Digite el siguiente código en el editor que se abrió. #Switches NET Data_In<3> LOC = A4; #GPIO_DIP4 NET Data_In<2> LOC = B4; #GPIO_DIP3 NET Data_In<> LOC = A3; #GPIO_DIP2 NET Data_In<> LOC = B3; #GPIO_DIP #Connector J5 NET Data_Out<7> LOC = D8 IOSTANDARD = LVCMOS33; NET Data_Out<6> LOC = D7 IOSTANDARD = LVCMOS33; NET Data_Out<5> LOC = G4 IOSTANDARD = LVCMOS33; NET Data_Out<4> LOC = F4 IOSTANDARD = LVCMOS33; NET Data_Out<3> LOC = C8 IOSTANDARD = LVCMOS33; NET Data_Out<2> LOC = C7 IOSTANDARD = LVCMOS33; NET Data_Out<> LOC = F6 IOSTANDARD = LVCMOS33; NET Data_Out<> LOC = F5 IOSTANDARD = LVCMOS33; #PMOD_P #PMOD_P9 #PMOD_P8 #PMOD_P7 #PMOD_P4 #PMOD_P3 #PMOD_P2 #PMOD_P Código 3: Archivo descriptor de pines de la tarjeta Spartan para la aplicación de la figura 3. 46) Conecte la tarjeta Spartan 6 LX9 Microboard a la PC tal como lo indica la figura 26. Figura 26: Creación de archivo userconstraint file, paso 46). 47) En el cuadro inferior izquierdo dé clic derecho sobre Implement Design y en el menú contextual elija la opción Run. 48) Dé doble clic izquierdo en Generate Programming File, con lo cual se generará el archivo para programar la tarjeta. 49) Dé un clic en el signo más de la opción Configure Target Device, y dé doble clic en la opción Generate Target PROM/ACE File, se abrirá una ventana que sirve para trasferir el código a la tarjeta. 5) La acción anterior hará que se despliegue la ventana de la figura 28. En la cual debe dar doble clic izquierdo sobre la opción Boundary Scan del recuadro superior izquierdo.

18 8 Figura 27: Creación de archivo user constraint file, pasos47) al 49). Figura 28: Ventana ISE IMPACT. 5) El proceso anterior hará que la parte derecha de la ventana se haga de color blanco esperando que Ud establezca la comunicación entre la PC y la tarjeta (reconocimiento de la misma), para lo cual debe dar clic izquierdo en el icono Initialize Chain(ver figura 29).

19 9 Figura 29: Descargar archivo sobre la FPGA, paso 5). 52) Se desplegará una ventana (ver figura 3) donde se pregunta si quiere asignar un nuevo archivo de configuración a la tarjeta, de clic izquierdo en el botón Yes. Aparecerá en el fondo blanco un integrado que hace referencia a la FPGA que desea programar. Figura 3: Descargar archivo sobre la FPGA, paso 52). 53) Se desplegará una ventana de dialogo donde debe seleccionar el archivo.bit creado en el paso 48, búsquelo en el directorio donde se guardo, selecciónelo y de clic en el botón open (ver figura 3). Figura 3: Descargar archivo sobre la FPGA, paso 53).

20 2 54) Un nueva ventana (ver figura 32) más se desplegará preguntando si quiere dejar permanente el programa VHDL en la FPGA, a lo cual responderá que No. Figura 32: Descargar archivo sobre la FPGA, paso 54). 55) Seleccione el botón Ok en la ventana de la figura 33, para afirmar que se quiere descargar el programa sobre la FPGA. Figura 33: Descargar archivo sobre la FPGA, paso 55). 56) De doble clic izquierdo sobre la opción Program del recuadro inferior izquierdo (ver figura 34), se realizará el proceso de grabación y la tarjeta estará lista para verificar físicamente el código VHDL implementado. Figura 34: Descargar archivo sobre la FPGA, paso 56).

21 2 57) Conecte la tarjeta de display a la tarjeta Spartan (ver figura 35). Figura 35: Conectar Spartan 6 LX9 Microboard a tarjeta de display, paso 57). 58) Verifique el funcionamiento del VHDL. Accione combinaciones con el minidip SW de la tarjeta y vea el resultado en el display. 59) Desconecte la tarjeta Spartan de la computadora. 6) Desconecte la tarjeta de display de la tarjeta Spartan. 6) Cierre las aplicaciones abiertas y apague la computadora. Investigación complementaria. Modifique el VHDL, para realizar un decodificador hexadecimal a siete segmentos para display de ánodo común. 2. Pruebe el programa de sumador binario de 2 bits de la guía por medio de la tarjeta I/O y la tarjeta Spartan 6 LX9 Microboard; utilice el minidip de la tarjeta Spartan para introducir los dos números binarios de 2 bits y como salidas utilice los led s de la tarjeta I/O.

22 22 Figura 36: Diagrama esquemático de tarjeta I/O. Figura 37: Vista superior de PCB de tarjeta I/O. 3. Realice un sumador/restador binario de 3 bits, un bit del minidip se utilizará para saber si se realizará la suma o la resta de los números, la introducción de números y el total se realizará a través de la tarjeta I/O. 4. Realice un decodificador 4 a 6 con habilitador, verifique funcionalidades de integrados como el 7442, Realice un circuito para detectar la paridad par e impar de una palabra de 8 bits. 6. Dado el siguiente diagrama esquemático, realice un código VHDL para formar letras en una matriz de led s, considere que se necesitan 9 salidas de la tarjeta Spartan, podrá utilizar 4 bits del minidip + 7 entradas para realizar la combinación que permitan formar letras formadas por 5 filas y 5 columnas, cada combinación encenderá una columna a la vez, 2 = 248

23 23 combinaciones; por lo que en promedio se podrán formar 49 caracteres diferentes entre letras mayúsculas y minúsculas, números y símbolos. El docente elegirá una palabra a formar y se realizarán las combinaciones para formar dicha palabra en la matriz de led s. Figura 38: Diagrama esquemático de matriz de led s de 6x5. Figura 39: Vista superior de PCB de matriz de led s de 6x5. 7. Considere como opción utilizar display matriciales LTP57R. Figura 4: Display matricial LTP57R.

24 24 Figura 4: Posibles letras en display matricial LTP57R. Bibliografía. archive.html All Programmable Low-End Portfolio Product Selection Guide: 4. Xilinx Spartan -6 FPGA LX9 MicroBoard User Guide: %2Ftrunk%2Ffpga%2Fxilinx_avnet_lx9microbard%2Fdoc%2FXilinx_Spartan6_LX9_MicroBoard_Rev_B2_Hardware_User_Guide.pdf TE CONNECTIVITY INTERCONNECT SOLUTIONS FOR THE XILINX SPARTAN -6 FPGA LX9 MICROBOARD

25 Configuring the Xilinx Spartan - 6 LX9 MicroBoard: 9. Tutorial Creating an AXI based Embedded System: pdf. Spartan-6 FamilyOverview: Spartan-6 FPGA Data Sheet: DC and Switching Characteristics: 2. Spartan6 ProductBrief: 3. Spartan-6 FPGA Packaging and Pinouts: Product Specification: 4. Driver (C:\Xilinx\4.7\ISE_DS\common\bin\nt64\digilent): w=category&tab=sd&num=&sortby=displayorder&show_dynamic_navigation= &sort=date%3ad%3ar%3ad&documentclass=document&requiredfields=archived%3atrue&getfields=*&q=+inmeta:document%252type%3dpackage%252 Specifications+inmeta:Devices%3DSpartan%252D6+inmeta:Document%252Class%3 DDocument+inmeta:Product%252Type%3DSilicon%252Devices&dnavs=inmeta:Do cument%252type%3dpackage%252specifications+inmeta:devices%3dspartan%2 52D6+inmeta:Document%252Class%3DDocument+inmeta:Product%252Type%3D Silicon%252Devices 6. w=category&tab=sd&num=&sortby=date&show_dynamic_navigation=&sort=d ate%3ad%3ar%3ad&documentclass=document&requiredfields=archived%3atrue&getfields=*&q=+inmeta:document%252type%3dapplication%2 52Notes+inmeta:Devices%3DSpartan%252D6+inmeta:Document%252Class%3DDo cument+inmeta:product%252type%3dsilicon%252devices&dnavs=inmeta:docum ent%252type%3dapplication%252notes+inmeta:devices%3dspartan%252d6+in meta:document%252class%3ddocument+inmeta:product%252type%3dsilicon% 252Devices

INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica 1 INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas Digitales Lugar de Ejecución: Fundamentos Generales.// Automatización. Objetivo General

Más detalles

ALU (Unidad Aritmética Lógica). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

ALU (Unidad Aritmética Lógica). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica Sistemas digitales II. Guía 3 1 ALU (Unidad Aritmética Lógica). Facultad: Ingeniería. Escuela: Electrónica. Asignatura: Sistemas Digitales II. Lugar de Ejecución: Microprocesadores (3.23). Objetivo General.

Más detalles

CREAR PROYECTO EN ISE v9.2 DE XILINX

CREAR PROYECTO EN ISE v9.2 DE XILINX EL ISE DE XILINX CREAR PROYECTO EN ISE v9.2 DE XILINX El programa ISE (Integrated Software Environment) de XILINX es una herramienta que mediante la utilización de lenguaje de programación como el VHDL

Más detalles

Practica No. 1 Circuitos Secuenciales

Practica No. 1 Circuitos Secuenciales Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de dispositivos lógicos programables TerasIC proporcionada a los alumnos, el software de operación

Más detalles

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE LABORATORIO1 INTRODUCCION AL USO DE LA HERRAMIENTA DE SINTESIS Y SIMULACION ISE_9.2 INTRODUCCION El curso de Diseño avanzado de Hardware, presenta al estudiante diferentes técnicas y herramientas que le

Más detalles

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación Tutorial de VHDL: Contadores y Simulación Importante: -Verifique la instalación del Webpack 6.0 y el Modelsim XE 6.0 SE. Ambos programas se pueden bajar desde www.xilinx.com. -Verifique también la licencia

Más detalles

Sumador completo de un bit - Versión VHDL Sintético

Sumador completo de un bit - Versión VHDL Sintético Sumador completo de un bit Versión VHDL Sintético Federico Paredes 1, Daniel Durán 1 1 Becarios de investigación Importante: Verifique la instalación del Webpack 7.1 y el Modelsim XE 7.1 SE.Ambos programas

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I.

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I. UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I. NORMA ELVA CHÁVEZ REPORTE DE LA PRÁCTICA DISEÑO Y CONSTRUCCIÓN DE UN CODIFICADOR

Más detalles

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de los dispositivos lógicos programables que se dispone en el laboratorio,

Más detalles

2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory.

2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory. FACULTAD DE INGENIERÍA ELECTRÓNICA. GRUPO SEDA SEDA.ESCUELAING.EDU.CO PROFESOR: JAVIER SOTO PHD. TUTORIAL CREACIÓN, SIMULACIÓN E IMPLEMENTACIÓN DE UN PROYECTO (VHDL) EN VIVADO 2016.3 DESCRIPCIÓN Este tutorial

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos.

El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos. Universidad de Antioquia Facultad de Ingeniería Departamento de Ingeniería Electrónica Laboratorio de Circuitos Digitales I Práctica 0: Manejo del software de laboratorio El objetivo de la práctica es

Más detalles

Modulo de desarrollo. Spartan 3 Starter Kit

Modulo de desarrollo. Spartan 3 Starter Kit Modulo de desarrollo Spartan 3 Starter Kit Universidad Simón Bolívar - 1 - EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Elementos constituyentes del modulo SPARTAN 3 Starter Kit

Más detalles

Practica No. 1 Circuitos Secuenciales. 1.- Siga los pasos siguientes para configurar un contador de 4 bits usando el ambiente de desarrollo Quartus.

Practica No. 1 Circuitos Secuenciales. 1.- Siga los pasos siguientes para configurar un contador de 4 bits usando el ambiente de desarrollo Quartus. Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de los dispositivos lógicos programables que se dispone en el laboratorio, tarjeta TerAsic, el software

Más detalles

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso. DISEÑO DE PROCESADORES DEDICADOS Práctica 1 ISE para el Diseño con FPGAs Captura y Simulación Dr. Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Campo 1:

Más detalles

Laboratorio 4. Objetivos

Laboratorio 4. Objetivos DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 4 Objetivos Interpretación de información especificada en hojas de datos o especificaciones de diseño Utilización de

Más detalles

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S Lab 6 Cuádruple registro de 16 bits y 3 puertos E/S Objetivo: Codificar en VHDL y verificar el funcionamiento de un registro de cuatro palabras y de las operaciones de lectura y escritura sobre el mismo.

Más detalles

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso. DISEÑO DE PROCESADORES DEDICADOS Práctica 1 ISE para el Diseño con FPGAs Captura y Simulación Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Campo 1: Datos

Más detalles

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase. DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 3 Objetivo Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 2 Síntesis Lógica Tarjeta Spartan II. Campo 1: Datos Personales.

DISEÑO DE PROCESADORES DEDICADOS. Práctica 2 Síntesis Lógica Tarjeta Spartan II. Campo 1: Datos Personales. DISEÑO DE PROCESADORES DEDICADOS Campo 1: Datos Personales. Práctica 2 Síntesis Lógica Tarjeta Spartan II M. en C. Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo

Más detalles

Lab 2: Sumador/Restador en System Generator

Lab 2: Sumador/Restador en System Generator Lab 2: Sumador/Restador en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Pasos para conectar la CPLD al cable JTAG USB

Pasos para conectar la CPLD al cable JTAG USB Pasos para conectar la CPLD al cable JTAG USB 1) El pin VIO, pin 5V van conectados a 5V(No energice aun la CPLD) 2) En el Pin VIO se recomienda poner una resistencia en serie de 330ohms desde 5v al Pin

Más detalles

placas de prototipado (i) Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas

placas de prototipado (i) Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas 1 Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de

Más detalles

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb.

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb. Estructura de VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Campo 2: Objetivos. Síntesis lógica y programación de la tarjeta de desarrollo DIGILENT SPARTAN 3E-STARTER, XC3S500E.

Campo 2: Objetivos. Síntesis lógica y programación de la tarjeta de desarrollo DIGILENT SPARTAN 3E-STARTER, XC3S500E. DISEÑO DE PROCESADORES DEDICADOS Campo 1: Datos Personales. Práctica 2 Síntesis Lógica Tarjeta Spartan 3E Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC

Más detalles

Desarrollo y Construcción de Prototipos Electrónicos

Desarrollo y Construcción de Prototipos Electrónicos Desarrollo y Construcción de Prototipos Electrónicos U.D. 1.1.- Diseño electrónico Proyecto (.OPJ) Estructura de un proyecto Librerías guarda punteros a un fichero de diseño Diseño (.DSN) Ficheros VHDL

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 1 isplever: ABEL HDL, Diseño Lógico Combinatorio. jlozada@ipn.mx Centro de Innovación y Desarrollo Tecnológico en Cómputo Lab. de Diseño de Sistemas Digitales

Más detalles

Circuitos Lógicos: SDI Práctica 2. BCD a 7 segmentos

Circuitos Lógicos: SDI Práctica 2. BCD a 7 segmentos Circuitos Lógicos: SDI-11322 Práctica 2. BCD a 7 segmentos Departamento Académico de Sistemas Digitales Instituto Tecnológico Autónomo de México Otoño 2018 1. Objetivos Que el alumno: Se familiarice con

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

PLATAFORMA DE DISEÑO ISE

PLATAFORMA DE DISEÑO ISE PLATAFORMA DE DISEÑO ISE M.I. NORMA ELVA CHÁVEZ RODRÍGUEZ 199 6.1 HERRAMIENTAS DE DISEÑO ISE La plataforma ISE (Integrated Software Environment) integra herramientas de desarrollo necesarias para procesar

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015

Más detalles

Introducción a la simulación con ModelSim.

Introducción a la simulación con ModelSim. Introducción a la simulación con ModelSim. Este es un resumen de la funcionalidad básica del simulador ModelSim. Model Sim permite muchas cosas más. Puede encontrar más información en Help->PDF Documentation->Tutorial

Más detalles

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 Objetivo: Diseño e implementación de un cronómetro digital usando la herramienta de diseño Xilinx Foundation. El diseño se realizará con captura de

Más detalles

Tutorial. Captura de esquemas y simulación lógica con la herramienta ISE

Tutorial. Captura de esquemas y simulación lógica con la herramienta ISE Tutorial Captura de esquemas y simulación lógica con la herramienta ISE Área de Arquitectura y Redes de Computadores Dpto. de Tecnologías y Sistemas de Información Escuela Superior de Informática 1 Objetivos

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

Simulación con un banco de pruebas VHDL - test bench.

Simulación con un banco de pruebas VHDL - test bench. Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2 Diseño de un test bench 4.3 Ejemplos Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

Tutorial 2: Pasar de diagrama ASM a un circuito

Tutorial 2: Pasar de diagrama ASM a un circuito Tutorial 2: Pasar de diagrama ASM a un circuito Introducción En este segundo tutorial veremos cómo transformar nuestros diagramas ASM en circuitos que puedan ser implementados utilizando la placa DEO.

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

Dispositivos de Memoria

Dispositivos de Memoria Práctica No. 2 Dispositivos de Memoria Datos de la práctica Carrera INGENIERIA ELECTRONICA Semestre Grupo Tipo Practica Laboratorio Simulación Fecha Asignatura Unidad Temática No Alumnos por practica 2

Más detalles

MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11

MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11 MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11 Objetivo1: conocer cómo funciona la tarjeta Objetivo2: Comprender como se construyen sistemas

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:

Más detalles

Laboratorio 8. Orcad. Actividades. Semana 1

Laboratorio 8. Orcad. Actividades. Semana 1 Laboratorio 8 Orcad Actividades OrCAD PSpice es un programa de simulación que modela el comportamiento de un circuito que puede contener una mezcla de dispositivos digitales y analógicos. Este programa

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014 Solución al Trabajo Práctico - Junio de 2014 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es contabilizar el número de señales de entrada que tienen valor

Más detalles

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006.

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. OBJETIVOS: Con este proyecto se pretende que el alumno practique y afiance los conocimientos

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES.

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. Sumadores básicos: Los sumadores son muy importantes no solamente en las computadoras, sino en muchos pos de sistemas digitales en los que se

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX Introducción a Verilog y XILINX Enunciados de Prácticas de Laboratorio Estructura de Computadores (v. 5.0) 1. Introducción y objetivos Uno de los objetivos generales de la asignatura Estructura de Computadores

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana Solución al examen de Junio 2012, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,x4,x5 entre los instantes 0 y

Más detalles

Práctica 2 Control de motores de DC con la tarjeta TerasIC DE0-Nano

Práctica 2 Control de motores de DC con la tarjeta TerasIC DE0-Nano Práctica 2 Control de motores de DC con la tarjeta TerasIC DE0-Nano Construcción de Robots Móviles Entrega: 10-09-2015 1. Objetivos Familiarizarse con el ambiente de desarrollo Quartus II y el lenguaje

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

Configuración de Dispositivo BELKIN como Antena

Configuración de Dispositivo BELKIN como Antena Configuración de Dispositivo BELKIN como Antena Con el siguiente manual, usted podrá configurar el Router Belkin para navegar de forma inalámbrica con su modem 2Wire. Solo siga los siguientes pasos. Paso

Más detalles

Practicas tuteladas VHDL (curso 04-05)

Practicas tuteladas VHDL (curso 04-05) Practicas tuteladas VHDL (curso 4-5) Tecnología de computadores. Prácticas de VHDL (Curso 3/4) PRÁCTICA-2: ATRIBUTOS DE SEÑALES ELEMENTOS DE MEMORIA Y REGISTROS OBJETIVOS: Utilizar el paquete de datos

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

MultiSIM 9 Simulación y Captura. Guía rápida

MultiSIM 9 Simulación y Captura. Guía rápida MultiSIM 9 Simulación y Captura Guía rápida Guía de usuario rápida con MultiSim 9 Este pequeño libro le permitirá hacer un recorrido por las funciones de captura de esquemáticos, la simulación y el análisis

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

PRÁCTICA 4: INTRODUCCIÓN A LA SIMULACIÓN Y A LA

PRÁCTICA 4: INTRODUCCIÓN A LA SIMULACIÓN Y A LA PRÁCTICA 4: INTRODUCCIÓN A LA SIMULACIÓN Y A LA IMPLANTACIÓN FÍSICA CON QUARTUS II V. 9.0 OBJETIVOS Al finalizar la práctica el alumno ha de ser capaz de: Simular un circuito usando la herramienta Quartus

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

----*************************************************************************

----************************************************************************* library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use UNISIM.VComponents.all; ----********************************************************************

Más detalles

Edición, Simulación y Síntesis con isplever

Edición, Simulación y Síntesis con isplever Edición, Simulación y Síntesis con isplever Tras haber instalado isplever Classic se ejecuta para comenzar a usarlo: Página 1 En esta ventana del navegador de isplever Classic se comienza creando un nuevo

Más detalles

Tutorial de Xilinx ISE

Tutorial de Xilinx ISE Tutorial de Xilinx ISE Eduardo Magdaleno Castelló Manuel Rodríguez Valido Universidad de La Laguna Introducción al Diseño Lógico Digital Tabla de Contenidos ISE Quick Start Tutorial Objetivos de este Tutorial...

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 5 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1 y z2

Más detalles

UNIVERSIDAD TÉCNICA FEDERICO SANTA MARÍA SEDE VIÑA DEL MAR, JOSÉ MIGUEL CARRERA Técnico Universitario en Electrónica

UNIVERSIDAD TÉCNICA FEDERICO SANTA MARÍA SEDE VIÑA DEL MAR, JOSÉ MIGUEL CARRERA Técnico Universitario en Electrónica GUÍA CREACIÓN DE ESQUEMÁTICO EN PROTEUS I. Objetivos. Esta guía pretende enseñar algunos consejos básicos de cómo diseñar el esquema de un circuito electrónico para posteriormente realizar el PCB acorde

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera.

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Simulación avanzada con Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Desc. del Problema Descripción HDL Synthesis Place and Route / Fit 2 - Generalidades - Generación de Estímulos Agenda - Asignaciones

Más detalles

Laboratorio de Diseño Lógico Charla Introductoria 1.2. Ing. Luis C. Rosales A

Laboratorio de Diseño Lógico Charla Introductoria 1.2. Ing. Luis C. Rosales A Laboratorio de Diseño Lógico Charla Introductoria 1.2 Ing. Luis C. Rosales A. luis.carlos.rosales@gmail.com 2509-4569 Actualizaciones 1. Ya se esta tramitando el correo oficial dentro del dominio del TEC,

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Prácticas de Tecnología Electrónica. Tutorial de PSpice

Prácticas de Tecnología Electrónica. Tutorial de PSpice Prácticas de Tecnología Electrónica Iniciar PSpice y crear un proyecto Hacer clic en el menú Inicio Todos los programas PSpice Student Capture Student Figura 1: Vista inicial de PSpice Student. Una vez

Más detalles

Quartus II. Dr. Andrés David García García. Departamento de Mecatrónica. TE.1010 Sistemas Digitales

Quartus II. Dr. Andrés David García García. Departamento de Mecatrónica. TE.1010 Sistemas Digitales Quartus II Dr. Andrés David García García Departamento de Mecatrónica TE.1010 Sistemas Digitales Quartus II Ambiente gráfico: Circuitos Básicos Construcción de un HA Construcción de un FA Construcción

Más detalles

6. Codificadores. Electrónica Digital. Tema

6. Codificadores. Electrónica Digital. Tema 6. Codificadores Un codificador realiza la función inversa al decodificador, es decir, al activarse una de las entradas, en la salida aparece la combinación binaria correspondiente al número decimal activado

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016 Solución al Trabajo Práctico - Junio de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

TUTORIAL INTRODUCTORIO ISE, ISim e IMPACT

TUTORIAL INTRODUCTORIO ISE, ISim e IMPACT TUTORIAL INTRODUCTORIO ISE, ISim e IMPACT Cristian Sisterna Sección 1 Introducción al ISE Introducción En este tutorial se presenta la herramienta Integrated Software Environment (ISE) de la empresa Xilinx.

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Luxómetro Registrador HD450 PRESENTACIÓN DEL SOFTWARE

Luxómetro Registrador HD450 PRESENTACIÓN DEL SOFTWARE Luxómetro Registrador HD450 PRESENTACIÓN DEL SOFTWARE Con este programa usted puede recolectar datos del medidor HD450 cuando el medidor está conectado a una PC y descargar los datos guardaos en la memoria

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

4.3. Lenguaje VHDL Declaración de Packages

4.3. Lenguaje VHDL Declaración de Packages 4.3. Lenguaje VHDL El lenguaje VHDL (Very High Speed Integrates Circuit Hardware Description Language) es un lenguaje estándar utilizado para la descripción de los sistemas digitales. En este apartado

Más detalles

Sistemas Digitales I

Sistemas Digitales I UNIVERSIDAD INDUSTRIAL DE SANTANDER Sistemas Digitales I Taller No1 Profesor: Carlos A. Fajardo Mayo de 2015 Temas: Representación digital de los Datos, Algebra de Boole, Funciones Lógicas, Introducción

Más detalles

Simulación de circuitos descritos en VHDL

Simulación de circuitos descritos en VHDL Simulación de circuitos descritos en VHDL Autores: Celia López Luis Entrena Mario García Enrique San Millán Marta Portela Almudena Lindoso 1 Indice 1 2 3 4 5 Validación funcional de circuitos digitales

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Alumnos: Chávez Ordoñez César Alejandro Garduño Aguilar Natanael Jesua Profesora: M.I. Norma Elva Chávez

Más detalles