UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I.

Tamaño: px
Comenzar la demostración a partir de la página:

Download "UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I."

Transcripción

1 UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I. NORMA ELVA CHÁVEZ REPORTE DE LA PRÁCTICA DISEÑO Y CONSTRUCCIÓN DE UN CODIFICADOR ALUMNO: BERNAL TÉLLEZ MARIO NO CUENTA:

2 Diseño y construcción de un codificador. Especificaciones: Diseño y construcción de un codificador de 7 entradas. Pasos: 1. Crear carpeta en el escritorio con el nombre de cody 2. Abir la carpeta, copiar y pegar Deco.VHD (el que se realizó la clase anterior)

3 3. Abrir ISE-Xilinx Y realizar los siguientes pasos ya conocidos: -File > New Project (Se abre una ventana) New Project Wizard - Device Properties, donde pondremos lo siguiente: Project Name: cody Y en Project Location selecionamos la carpeta que se creó en el escritorio. Por último en Top-Level Source type: HDL Damos clic en Next

4 Después nos parecerá la siguiente ventana la cual llenaremos de la siguiente manera: - Product Category: General Purpose - Family: Spartan3 - Device: XC3S200 - Package: FT256 - Speed: -5 - Synthesis Tool: XST (VHDL/Verilog) - Simulator: ISE Simulator (VHDL/Verilog) Damos clic en Next Nos parecerá la siguiente ventana, donde daremos clic en New Source

5 Nos saldrá la siguiente ventana y seleccionaremos VHDL Module y en File Name le pondremos cody, posteriormente damos clic en Next Ahora definiremos nuestros vectores que serian los siguientes: N(7:0) A(3:0)

6 Ahora daremos clic en Next, Finish, Next, Next, y nos aparecerá un resumen de lo que hemos hecho. Daremos clic en Next. Ahora ya tenemos que escribir el código de nuestra práctica, dentro de la sección del begin y end de la architecture Behavioral, en la cual escibiremos el siguiente código:

7 4. Abrir la pestaña de 1 Synthesize XTS, y dar doble clic en 2 Check Syntax y esperaremos a que se ponga una palomita verde, de lo contrario hay un error, tenemos que revisar el código. 5. Crearemos un símbolo esquemático de la siguiente manera: Abrimos la pestaña de 1 Design Utilities y damos doble clic en 2 Create Schematic Symbol

8 6. El siguiente paso es adicionar el decodificador haciendo click en la opción : Add Existing Source, que se encuentra dentro de la ventana de los procesos, seleccionar -> deco.vhd Es el que habíamos guardado en nuestra carpeta cody 7. Checar en Source que este seleccionado deco 8. Abrir la pestaña Synthesize XTS, y dar doble clic en Check Syntax

9 9. Checar en la ventana de las fuentes Source que este seleccionado deco 10. Crear Schematic Symbol, cuando se crea en la parte inferior aparece lo siguiente: Process Create Schematic Symbol completed successfully 11. Crear una nueva fuente dentro de nuestro proyecto New Source -> Schematic ->TOP NOTA: No debemos poner el mismo nombre, en este caso cody, ya que si ponemos el mismo nombre se nos borrará todo lo que ya tenemos hecho. Pondremos el nombre de TOP

10 Damos clic en Next y después Finish 12. En la ventana que tenemos sacaremos nuestro Cody y Deco Ahora hacemos lo siguiente

11 13. Guardamos lo realizado. Ahora nos vamos a la ventana TOP.SCH y luego en processes, para dar doble clic en Synthesize XTS NOTA: en ocasiones nos marcara WARNIG, pero podemos seguir adelante

12 14. Por ultimo damos clic en User Constraints -> Crate Area Constraints Y ahora pondremos los pines respectivamente de nuestra tarjeta, en este caso la SPARTAN Guardamos todo y ahora solo nos queda conectar nuestra tarjeta SPARTAN 3

13 Conclusión: En la realización de esta práctica vimos algo de las clases anteriores lo que me sirvió para repasar y así aprender un poco más sobre la utilización del lenguaje VHDL y el uso de un FPGA. Al terminar esta práctica aprendí a crear codificadores para después utilizarlos, haciendo que los proyectos sean más fáciles en su solución.

El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos.

El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos. Universidad de Antioquia Facultad de Ingeniería Departamento de Ingeniería Electrónica Laboratorio de Circuitos Digitales I Práctica 0: Manejo del software de laboratorio El objetivo de la práctica es

Más detalles

CREAR PROYECTO EN ISE v9.2 DE XILINX

CREAR PROYECTO EN ISE v9.2 DE XILINX EL ISE DE XILINX CREAR PROYECTO EN ISE v9.2 DE XILINX El programa ISE (Integrated Software Environment) de XILINX es una herramienta que mediante la utilización de lenguaje de programación como el VHDL

Más detalles

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE LABORATORIO1 INTRODUCCION AL USO DE LA HERRAMIENTA DE SINTESIS Y SIMULACION ISE_9.2 INTRODUCCION El curso de Diseño avanzado de Hardware, presenta al estudiante diferentes técnicas y herramientas que le

Más detalles

placas de prototipado (i) Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas

placas de prototipado (i) Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas 1 Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de

Más detalles

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso. DISEÑO DE PROCESADORES DEDICADOS Práctica 1 ISE para el Diseño con FPGAs Captura y Simulación Dr. Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Campo 1:

Más detalles

PLATAFORMA DE DISEÑO ISE

PLATAFORMA DE DISEÑO ISE PLATAFORMA DE DISEÑO ISE M.I. NORMA ELVA CHÁVEZ RODRÍGUEZ 199 6.1 HERRAMIENTAS DE DISEÑO ISE La plataforma ISE (Integrated Software Environment) integra herramientas de desarrollo necesarias para procesar

Más detalles

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso. DISEÑO DE PROCESADORES DEDICADOS Práctica 1 ISE para el Diseño con FPGAs Captura y Simulación Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Campo 1: Datos

Más detalles

INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica 1 INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas Digitales Lugar de Ejecución: Fundamentos Generales.// Automatización. Objetivo General

Más detalles

Pasos para conectar la CPLD al cable JTAG USB

Pasos para conectar la CPLD al cable JTAG USB Pasos para conectar la CPLD al cable JTAG USB 1) El pin VIO, pin 5V van conectados a 5V(No energice aun la CPLD) 2) En el Pin VIO se recomienda poner una resistencia en serie de 330ohms desde 5v al Pin

Más detalles

MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11

MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11 MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11 Objetivo1: conocer cómo funciona la tarjeta Objetivo2: Comprender como se construyen sistemas

Más detalles

CIRCUITOS COMBINACIONALES CON isplever

CIRCUITOS COMBINACIONALES CON isplever CIRCUITOS COMBINACIONALES CON isplever En el siguiente tutorial se describe el procedimiento para crear un diseño digital usando esquemático con el software isplever. Se explicará como implementar la ecuación

Más detalles

Practica No. 1 Circuitos Secuenciales

Practica No. 1 Circuitos Secuenciales Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de dispositivos lógicos programables TerasIC proporcionada a los alumnos, el software de operación

Más detalles

Practica No. 1 Circuitos Secuenciales. 1.- Siga los pasos siguientes para configurar un contador de 4 bits usando el ambiente de desarrollo Quartus.

Practica No. 1 Circuitos Secuenciales. 1.- Siga los pasos siguientes para configurar un contador de 4 bits usando el ambiente de desarrollo Quartus. Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de los dispositivos lógicos programables que se dispone en el laboratorio, tarjeta TerAsic, el software

Más detalles

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de los dispositivos lógicos programables que se dispone en el laboratorio,

Más detalles

INTRODUCCIÓN ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

INTRODUCCIÓN ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica INTRODUCCIÓN ISE (XILINX). Facultad: Ingeniería. Escuela: Electrónica. Asignatura: Sistemas Digitales II. Lugar de Ejecución: Microprocesadores (3.23). Objetivo General. Utilizar el editor de archivos

Más detalles

Modulo de desarrollo. Spartan 3 Starter Kit

Modulo de desarrollo. Spartan 3 Starter Kit Modulo de desarrollo Spartan 3 Starter Kit Universidad Simón Bolívar - 1 - EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Elementos constituyentes del modulo SPARTAN 3 Starter Kit

Más detalles

Xilinx-ISE. Susana Holgado Escuela Politécnica Superior UAM

Xilinx-ISE. Susana Holgado Escuela Politécnica Superior UAM Manejo básicob Susana Holgado Escuela Politécnica Superior UAM Modificaciones: Ángel de Castro (2006) Francisco Javier Gómez Arribas (2008) Víctor Apéstegui Palacio (2009) 1 Xilinx-ISE La herramienta Xilinx-ISE

Más detalles

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales : Diseño de un Divisor de Frecuencia Alumno: Jesús Alfredo Hernández Alarcón Profesor: M.I. Norma Elva Chávez

Más detalles

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S Lab 6 Cuádruple registro de 16 bits y 3 puertos E/S Objetivo: Codificar en VHDL y verificar el funcionamiento de un registro de cuatro palabras y de las operaciones de lectura y escritura sobre el mismo.

Más detalles

Tutorial. Captura de esquemas y simulación lógica con la herramienta ISE

Tutorial. Captura de esquemas y simulación lógica con la herramienta ISE Tutorial Captura de esquemas y simulación lógica con la herramienta ISE Área de Arquitectura y Redes de Computadores Dpto. de Tecnologías y Sistemas de Información Escuela Superior de Informática 1 Objetivos

Más detalles

ESTACION TOTAL BAJADA DE DATOS

ESTACION TOTAL BAJADA DE DATOS ESTACION TOTAL BAJADA DE DATOS Consiste en descargar todos los puntos tomados en campo, desde la estación hacia una computadora mediante un software o programa que dependerá de la marca o modelo de la

Más detalles

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V Practica 0. QUARTUS ll FCE 2015-02-05 Objetivo: El alumno conozca y utilice el software de ALTERA, quartus ll 1. Escribir un programa en vhdl, con ejemplo de compuerta NOT. 2. compilar y verificar sintaxis,

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX Introducción a Verilog y XILINX Enunciados de Prácticas de Laboratorio Estructura de Computadores (v. 5.0) 1. Introducción y objetivos Uno de los objetivos generales de la asignatura Estructura de Computadores

Más detalles

INSTRUCTIVO PARA LA CREACIÓN CARPETAS Y TRASLADO DE LA INFORMACIÓN

INSTRUCTIVO PARA LA CREACIÓN CARPETAS Y TRASLADO DE LA INFORMACIÓN Este instructivo permitirá que pueda tener su información institucional organizada y de fácil acceso. INSTRUCTIVO PARA LA CREACIÓN CARPETAS Y TRASLADO DE LA INFORMACIÓN 2014 Contenido I.- CREACIÓN DE CARPETAS...

Más detalles

TUTORIAL DE INSTALACION DEL PROGRAMA ATP DRAW 5.7 (Por Saul Gamarra Q.) Primero ubicamos en el escritorio o lugar donde este la carpeta ATPDraw

TUTORIAL DE INSTALACION DEL PROGRAMA ATP DRAW 5.7 (Por Saul Gamarra Q.) Primero ubicamos en el escritorio o lugar donde este la carpeta ATPDraw TUTORIAL DE INSTALACION DEL PROGRAMA ATP DRAW 5.7 (Por Saul Gamarra Q.) Primero ubicamos en el escritorio o lugar donde este la carpeta ATPDraw Damos clic en setup A continuación le damos Next Saul Gamarra

Más detalles

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación Tutorial de VHDL: Contadores y Simulación Importante: -Verifique la instalación del Webpack 6.0 y el Modelsim XE 6.0 SE. Ambos programas se pueden bajar desde www.xilinx.com. -Verifique también la licencia

Más detalles

Tutorial de Xilinx ISE

Tutorial de Xilinx ISE Tutorial de Xilinx ISE Eduardo Magdaleno Castelló Manuel Rodríguez Valido Universidad de La Laguna Introducción al Diseño Lógico Digital Tabla de Contenidos ISE Quick Start Tutorial Objetivos de este Tutorial...

Más detalles

OPENOFFICE IMPRESS. Uso básico Basic usage

OPENOFFICE IMPRESS. Uso básico Basic usage OPENOFFICE IMPRESS Uso básico Basic usage Qué es OpenOffice Impress? Es la herramienta que nos ofrece OpenOffice para realizar presentaciones Las presentaciones permiten comunicar información de una forma

Más detalles

ENTORNO DE TRABAJO E INTRODUCCIÓN A JAVA - ANEXO Versión: 1.0.1

ENTORNO DE TRABAJO E INTRODUCCIÓN A JAVA - ANEXO Versión: 1.0.1 FUNDAMENTOS DE PROGRAMACIÓN Curso: 2013/14 ENTORNO DE TRABAJO E INTRODUCCIÓN A JAVA - ANEXO Versión: 1.0.1 OBJETIVOS Aprender a importar bibliotecas en archivos.jar a un proyecto Eclipse. Aprender a exportar

Más detalles

Desarrollo y Construcción de Prototipos Electrónicos

Desarrollo y Construcción de Prototipos Electrónicos Desarrollo y Construcción de Prototipos Electrónicos U.D. 1.1.- Diseño electrónico Proyecto (.OPJ) Estructura de un proyecto Librerías guarda punteros a un fichero de diseño Diseño (.DSN) Ficheros VHDL

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

Guía para alta de serie y folios fiscales

Guía para alta de serie y folios fiscales Guía para alta de serie y folios fiscales Procedimiento Para dar de alta sus folios y series solicitados al SAT seguiremos los siguientes pasos: 1. Abriremos la empresa e iremos al menú catálogos series

Más detalles

Práctica 1. Diseño y simulación de un circuito combinacional usando puertas lógicas

Práctica 1. Diseño y simulación de un circuito combinacional usando puertas lógicas Práctica Diseño y simulación de un circuito combinacional usando puertas lógicas El objetivo de esta primera práctica es la toma de contacto con la herramienta de captura y simulación de circuitos digitales

Más detalles

INSTALACIÓN ISE DE XILINX INC. Versión 1.1. Carlos Mario Martínez Castro

INSTALACIÓN ISE DE XILINX INC. Versión 1.1. Carlos Mario Martínez Castro INSTALACIÓN ISE DE XILINX INC. Versión 1.1. Carlos Mario Martínez Castro Estudiante Ingeniería Electrónica ESCUELA DE INGENIERÍA ELÉCTRICA, ELECTRÓNICA Y TELECOMUNICACIONES UNIVERSIDAD INDUSTRIAL DE SANTANDER

Más detalles

TRUECRYPT. QUÉ ES? PROCESO DE INSTALACIÓN.

TRUECRYPT. QUÉ ES? PROCESO DE INSTALACIÓN. TRUECRYPT. 2º Dentro del menú podremos descargar la última versión disponible para nuestro sistema operativo Windows. Para ello cliquearemos el primer botón Download que hay. QUÉ ES? TrueCrypt es una aplicación

Más detalles

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Alumnos: Chávez Ordoñez César Alejandro Garduño Aguilar Natanael Jesua Profesora: M.I. Norma Elva Chávez

Más detalles

Guía del Entorno de Desarrollo de Java. Eclipse

Guía del Entorno de Desarrollo de Java. Eclipse Guía del Entorno de Desarrollo de Java. Eclipse Dpto. Lenguajes y Ciencias de la Computación. E.T.S.I. Informática. Universidad de Málaga Programación Orientada a Objetos Dpto. Lenguajes y Ciencias de

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

PLATAFORMA DE DISEÑO QUARTUS

PLATAFORMA DE DISEÑO QUARTUS PLATAFORMA DE DISEÑO QUARTUS 199 Pasos para trabajar en la tarjeta intel DE2i-150 FPGA: Lo primero que se debe hacer es prender la tarjeta y esperar a que se cargue el sistema operativo UBUNTU. Ver la

Más detalles

Usando HADES Parte 3

Usando HADES Parte 3 Usando HADES Parte 3 José Luis Ortíz Olvera jluis.oo@gmail.com 10-octubre-2005 Decodificador HADES ofrece un decodificador 1:4 Para crearlo abrimos el menú contextual y elegimos: CREATE > GATES > DEMUX

Más detalles

Circuitos Lógicos: SDI Práctica 2. BCD a 7 segmentos

Circuitos Lógicos: SDI Práctica 2. BCD a 7 segmentos Circuitos Lógicos: SDI-11322 Práctica 2. BCD a 7 segmentos Departamento Académico de Sistemas Digitales Instituto Tecnológico Autónomo de México Otoño 2018 1. Objetivos Que el alumno: Se familiarice con

Más detalles

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna 1 Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna Adaptaciones por Jhon Esteban Valencia y Mónica Vallejo para el curso de Electrónica

Más detalles

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10).

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). GAL22V10 12 entradas dedicadas 10 pines E/S Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). 10 OLMCs disponibles. OLMCs programables como E o S combinacional o como S

Más detalles

En caso de Windows XP: Abrir Mi PC En caso de Windows 7: Abrir Equipo ubicado en el escritorio de Windows

En caso de Windows XP: Abrir Mi PC En caso de Windows 7: Abrir Equipo ubicado en el escritorio de Windows 1.- En el Servidor ubicar la Carpeta del Sistema c:\>sistema SAPCE\> (El año escolar actual debe estar cerrado, para mayor información ver Guía Cierre del Periodo Escolar ) En caso de Windows XP: Abrir

Más detalles

Creado por Ing. Dionisio Sosa Salazar. Manual para Conexión. Internet

Creado por Ing. Dionisio Sosa Salazar. Manual para Conexión. Internet Manual para Conexión Internet (La conexión a internet es el paso previo para que los técnicos de Progressfive pueda comunicarse vía remota con su Computadora mediante Teamviewer) NOTA: Previo al procedimiento

Más detalles

Práctica 2 Control de motores de DC con la tarjeta TerasIC DE0-Nano

Práctica 2 Control de motores de DC con la tarjeta TerasIC DE0-Nano Práctica 2 Control de motores de DC con la tarjeta TerasIC DE0-Nano Construcción de Robots Móviles Entrega: 10-09-2015 1. Objetivos Familiarizarse con el ambiente de desarrollo Quartus II y el lenguaje

Más detalles

El programa Qtiplot puede ser descargado desde

El programa Qtiplot puede ser descargado desde El programa Qtiplot puede ser descargado desde http://fisica.usac.edu.gt/~fisica/ Del lado izquierdo de la página (imagen a la izquierda) encontramos todos la documentación necesaria para elaborar el reporte.

Más detalles

Sistemas Digitales Trabajo Práctico 2. Voltímetro digital con salida VGA

Sistemas Digitales Trabajo Práctico 2. Voltímetro digital con salida VGA Sistemas Digitales - 66.17 Trabajo Práctico 2 Voltímetro digital con salida VGA Sistemas Digitales - 66.17 Facultad de Ingeniería - UBA 2 1. Objetivo El objetivo del presente Trabajo Práctico consiste

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

Qtiplot Windows. Guía de Inicio Rápido. Vers. 2.1

Qtiplot Windows. Guía de Inicio Rápido. Vers. 2.1 Qtiplot 0.9.8.9-Windows Guía de Inicio Rápido Vers. 2.1 Sugerencias: mat.fisik@gmail.com CÓMO OBTENER LA GRÁFICA A PARTIR DE UNA SERIE DE DATOS (TABLA)? Como ejemplo inicial llenaremos ambas columnas,

Más detalles

SISTEMAS OPERATIVOS DE RED WINDOWS SERVER Servidor de aplicaciones (IIS, ASP.NET)

SISTEMAS OPERATIVOS DE RED WINDOWS SERVER Servidor de aplicaciones (IIS, ASP.NET) Practica 1.9 Servidor de aplicaciones (IIS, ASP.NET) 1.- Primero vamos al botón inicio de nuestro servidor y a continuación le damos click donde dice administre su servidor. 2.- Nos aparecerá una ventana

Más detalles

Luego una vez creado el proyecto pondremos un boton, el que queramos a elegir entre muchos.

Luego una vez creado el proyecto pondremos un boton, el que queramos a elegir entre muchos. TUTORIAL ATUTOPLAY MEDIA STUDIO 7 TEMA: Manejo de archivos Autor: Jdyb Lo primero que debemos de hacer es crear un nuevo proyecto con el Autoplay Media Studio. Haremos click en File y luego en new y nos

Más detalles

Índice de contenido Crear un tema nuevo...3 Editar un tema...6 Insertar diferentes elementos en el body...7 Insertar una imagen...

Índice de contenido Crear un tema nuevo...3 Editar un tema...6 Insertar diferentes elementos en el body...7 Insertar una imagen... 1 Manual de foros Índice de contenido Crear un tema nuevo...3 Editar un tema...6 Insertar diferentes elementos en el body...7 Insertar una imagen...7 Insertar una imagen que se abra en tamaño original...12

Más detalles

GUÍA DE AYUDA No. 679

GUÍA DE AYUDA No. 679 Checador para Windows GUÍA DE AYUDA No. 679 PROCESO: INSTALACION DEL SISTEMA CHECADOR DESCARGADO DE INTERNET PROCEDIMIENTO: Primeramente ingresaremos a www.control2000.com.mx deberá seguir los siguientes

Más detalles

Control de Accesos SisMod

Control de Accesos SisMod Control de Accesos SisMod Para conocer y trabajar con la aplicación de SisMod en el modulo de control de accesos debemos realizar los siguientes pasos: Ejecutar la aplicación SisMod, dando doble clic en

Más detalles

Instalación Clientes. Revisión: 19/01/2015

Instalación Clientes. Revisión: 19/01/2015 Revisión: 19/01/2015 I Contenido Parte I Introducción 1 Parte II Asignar Repositorio 3 1 Asignando Unidad... 3 R Parte III Instalación y Configuración 1 Instalación 7... 7 2 Configuración... 11 3 Config.

Más detalles

ASISTENTE PARA PLANTILLAS EXCEL 2003

ASISTENTE PARA PLANTILLAS EXCEL 2003 ASISTENTE PARA PLANTILLAS EXCEL 2003 Utilización del asistente mediante un ejemplo Veamos con un ejemplo muy sencillo como funciona el Asistente para Plantillas. Vamos a crear un formulario en Excel que

Más detalles

Manual de creación de códigos de ítem en QuickBooks

Manual de creación de códigos de ítem en QuickBooks Manual de creación de códigos de ítem en QuickBooks Manual básico de creación y asignación de códigos en Quickbook a continuación se muestra la nomenclatura para la creación items en Quickbook. TIPOS DE

Más detalles

Edición, Simulación y Síntesis con isplever

Edición, Simulación y Síntesis con isplever Edición, Simulación y Síntesis con isplever Tras haber instalado isplever Classic se ejecuta para comenzar a usarlo: Página 1 En esta ventana del navegador de isplever Classic se comienza creando un nuevo

Más detalles

Lab 2: Sumador/Restador en System Generator

Lab 2: Sumador/Restador en System Generator Lab 2: Sumador/Restador en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

Desarrollo y Construcción de Prototipos Electrónicos

Desarrollo y Construcción de Prototipos Electrónicos Desarrollo y Construcción de Prototipos Electrónicos U.D.- 1.2.- Creación de diseños Estructuras de diseño La mayor parte de diseños de esquemas caben en una sola página. Sin embargo, otros diseños serán

Más detalles

Guía para factura con moneda extranjera

Guía para factura con moneda extranjera Guía para factura con moneda extranjera Para facturar con una moneda diferente a pesos, seguiremos los siguientes pasos: 1. Abriremos la empresa e iremos a archivo parámetros de la empresa. 2. Activaremos

Más detalles

1. Instalar el componente en el sitio por Extensiones gestor de extensiones.

1. Instalar el componente en el sitio por Extensiones gestor de extensiones. COMPONENTE ICAGENDA 1. Instalar el componente en el sitio por Extensiones gestor de extensiones. Ejemplo: 2. Seleccionar el archivo de la ubicación donde lo guarda y le da subir e instalar 3. Verifico

Más detalles

CONFIGURACIÓN DE FIRMA ELECTRÓNICA CON ADOBE READER DC

CONFIGURACIÓN DE FIRMA ELECTRÓNICA CON ADOBE READER DC CONFIGURACIÓN DE FIRMA ELECTRÓNICA CON ADOBE READER DC 1. Descargamos los certificados de ACA del siguiente enlace: http://www.abogacia.es/repositorio/acadescarga/aca_certs_todos.zip Pulsamos en Aceptar

Más detalles

Universidad Nacional Autónoma de México

Universidad Nacional Autónoma de México Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Alumno: Fernando Iván Silva Jiménez Profesora: M.I. Norma Elva ChávezRodríguez Laboratorio de Diseño de Sistemas

Más detalles

Qué es Microsoft Word?... 2 Cómo ingresar a Microsoft Word?... 2 Cómo salir de Microsoft Word?... 4

Qué es Microsoft Word?... 2 Cómo ingresar a Microsoft Word?... 2 Cómo salir de Microsoft Word?... 4 Contenido Conociendo Microsoft Office Word... 2 Qué es Microsoft Word?... 2 Cómo ingresar a Microsoft Word?... 2 Cómo salir de Microsoft Word?... 4 Trabajando con Microsoft Office Word... 5 Menú de Arranque

Más detalles

Índice. Calendario... 3

Índice. Calendario... 3 CALENDARIO Índice Calendario... 3 Calendario En primer lugar, para empezar a trabajar en calendario tendremos que ir a la opción calendario de centro. Apareceremos en un buscador, donde tendremos opción

Más detalles

Laboratorio de Diseño Lógico Charla Introductoria 1.2. Ing. Luis C. Rosales A

Laboratorio de Diseño Lógico Charla Introductoria 1.2. Ing. Luis C. Rosales A Laboratorio de Diseño Lógico Charla Introductoria 1.2 Ing. Luis C. Rosales A. luis.carlos.rosales@gmail.com 2509-4569 Actualizaciones 1. Ya se esta tramitando el correo oficial dentro del dominio del TEC,

Más detalles

Mi primer programa en Code::Blocks

Mi primer programa en Code::Blocks Mi primer programa en Code::Blocks Introducción a la Computación Departamento de Computación - FCEyN - UBA Primer cuatrimestre de 2010 1. Antes de empezar 1.1. Cómo instalo el Code::Blocks en una computadora?

Más detalles

KOMPOZER. Opciones básicas para diseño web

KOMPOZER. Opciones básicas para diseño web KOMPOZER Opciones básicas para diseño web Kompozer: pantalla inicial Es un programa especializado en crear webs Al iniciarlo, aparece una página en blanco lista para editar, y los controles básicos en

Más detalles

Módulos Adicionales: Control de Calidad Asistencial

Módulos Adicionales: Control de Calidad Asistencial Módulos Adicionales: Control de Calidad Asistencial E-mail: info@betek43sl.com Reconocimiento - Sin obra derivada - No comercial: El material creado por un artista puede ser distribuido, copiado y exhibido

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Descarga e Instalación de Java Development Kit (JDK)

Descarga e Instalación de Java Development Kit (JDK) Instalación del SDK de Android en Eclipse Por M.T.I. Jorge Carranza Gómez Para desarrollar aplicaciones para el Sistema Operativo Android, se deben revisar los requisitos mínimos para instalar el entorno

Más detalles

Guía de Usuario CÓMO CONSULTAR EL ESTADO DE TUS EXPEDIENTES JUDICIALES POR INTERNET CONSULTAS DE EXPEDIENTES JUDICIALES - CEJ

Guía de Usuario CÓMO CONSULTAR EL ESTADO DE TUS EXPEDIENTES JUDICIALES POR INTERNET CONSULTAS DE EXPEDIENTES JUDICIALES - CEJ CÓMO CONSULTAR EL ESTADO DE TUS EXPEDIENTES JUDICIALES POR INTERNET Guía de Usuario CONSULTAS DE EXPEDIENTES JUDICIALES - CEJ ÁREA DE INFORMÁTICA CORTE SUPERIOR DE JUSTICIA DE HUAURA PASO 1. Ingresamos

Más detalles

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 1 isplever: ABEL HDL, Diseño Lógico Combinatorio. jlozada@ipn.mx Centro de Innovación y Desarrollo Tecnológico en Cómputo Lab. de Diseño de Sistemas Digitales

Más detalles

ACTIVIDAD PRÁCTICA FINAL EN AUTOPLAY TUTORÍA 5

ACTIVIDAD PRÁCTICA FINAL EN AUTOPLAY TUTORÍA 5 ACTIVIDAD PRÁCTICA FINAL EN AUTOPLAY TUTORÍA 5 La Tutoría 5 se dedicará para realizar el PRODUCTO FINAL (Portafolio de Evidencias Digital), por lo tanto el producto de la tutoría 5 (Trabajo en grupo),

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Tutorial para el uso e instalación del Servicio de Reportes SQL Server

Tutorial para el uso e instalación del Servicio de Reportes SQL Server Tutorial para el uso e instalación del Servicio de Reportes SQL Server 1. Instalación de la aplicación ReportBuilder SQL Server incluye la aplicación para generar informes una vez instalada la base de

Más detalles

1.- CREAR UNA BASE DE DATOS CON ACCESS

1.- CREAR UNA BASE DE DATOS CON ACCESS 1.- CREAR UNA BASE DE DATOS CON ACCESS José A. Herrera Sánchez. 1. Haz doble clic sobre el icono de acceso directo de Microsoft Access situado en el Escritorio de tu ordenador. Si no estuviera haz clic

Más detalles

Tutorial de Instalación de PHPDesigner y Wampserver

Tutorial de Instalación de PHPDesigner y Wampserver TUTORIAL DE INSTALACIÓN DE PHPDESIGNER y WAMPSERVER Lo primero que debemos hacer es descargar el programa a utilizar para poder realizar las prácticas, estos programas son: PhpDesigner WAMP server Comenzaremos

Más detalles

MANUAL DE INSTALACIÓN DE DISPOSITIVOS

MANUAL DE INSTALACIÓN DE DISPOSITIVOS MANUAL DE INSTALACIÓN DE DISPOSITIVOS El siguiente Manual tiene como objetivo dar los conocimientos necesarios para la ejecución de los servicios e instalación de los driver correspondientes a cada dispositivo

Más detalles

LABORATORIO Nº 6 SUMA DE DOS NUMEROS EN POWER BUILDER

LABORATORIO Nº 6 SUMA DE DOS NUMEROS EN POWER BUILDER Estimados Estudiantes: A continuación se detallan los pasos para realizar un programa para sumar dos numeros utilizando el lenguaje de programación Power Builder. PASO 1.- Creamos un workspace, hacemos

Más detalles

Integración de Toolchain sobre IDE gráfico basado en Eclipse

Integración de Toolchain sobre IDE gráfico basado en Eclipse Integración de Toolchain sobre IDE gráfico basado en Eclipse Objetivos Integrar un toolchain sobre un IDE gráfico basado en Eclipse. Creación y compilación de un pequeño proyecto. Debug de una aplicación

Más detalles

2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory.

2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory. FACULTAD DE INGENIERÍA ELECTRÓNICA. GRUPO SEDA SEDA.ESCUELAING.EDU.CO PROFESOR: JAVIER SOTO PHD. TUTORIAL CREACIÓN, SIMULACIÓN E IMPLEMENTACIÓN DE UN PROYECTO (VHDL) EN VIVADO 2016.3 DESCRIPCIÓN Este tutorial

Más detalles

Capítulo 3 Macros Introducción

Capítulo 3 Macros Introducción Capítulo 3 Macros Introducción Objetivo. Aprender cómo crear una macro de forma manual, es decir escribiendo el código a mano. Ejercicio paso a paso. Empezaremos por crear una macro para poner a cero el

Más detalles

Cómo crear una base de datos en Access con Visual Basic Autor: Jorge Alvarez

Cómo crear una base de datos en Access con Visual Basic Autor: Jorge Alvarez Cómo crear una base de datos en Access con Visual Basic Autor: Jorge Alvarez 1 Presentación del curso Puedes organizar todas tus colecciones o lo que desees creando tus propias bases de datos en Access

Más detalles

USO DEL ENTORNO DE NETBEANS PARA LA CONEXIÓN DE BASE DE DATOS

USO DEL ENTORNO DE NETBEANS PARA LA CONEXIÓN DE BASE DE DATOS USO DEL ENTORNO DE NETBEANS PARA LA CONEXIÓN DE BASE DE DATOS Conector a la base de datos Una aplicación en Java para que logre comunicarse con una base de datos usando la API JDBC, se requiere de un conector.

Más detalles

Instalación de Dev-Pascal en entornos Windows

Instalación de Dev-Pascal en entornos Windows Instalación de Dev-Pascal en entornos Windows 1.- El software se puede descargar de la web: http://www.bloodshed.net/devpascal.html Como se puede observar en la página web de Bloodshed, el fichero ocupa

Más detalles

Manual de uso de Editor V.

Manual de uso de Editor V. Manual de uso de Editor V. Instalar el programa Se extrae el programa del fichero.rar y ya podemos empezar a trabajar con él. Para poder utilizar las entrevistas con el programa EditorV es necesario que

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

UNIVERSIDAD NACIONAL DE COLOMBIA AUTOMATIZACIÓN DE PROCESOS DE MANUFACTURA

UNIVERSIDAD NACIONAL DE COLOMBIA AUTOMATIZACIÓN DE PROCESOS DE MANUFACTURA UNIVERSIDAD NACIONAL DE COLOMBIA AUTOMATIZACIÓN DE PROCESOS DE MANUFACTURA Catalina Raymond Guzmán 285557 Angie Alexandra Quijano S. 285556 Oscar Gabriel Navarrete G. 285552 Luis Felipe Arévalo C. 285368

Más detalles

SERVICIOS, SISTEMAS Y PROTECCION Manual del software Time & Attendance

SERVICIOS, SISTEMAS Y PROTECCION Manual del software Time & Attendance Manual del software Time & Attendance -Conectarse a un equipo 1.- De click en el botón "Device (Dispositivos)" y le aparecerá una pantalla en la cual deberá modificar la información de acuerdo a los datos

Más detalles

Para instalar Audacity basta con descargarse el programa desde este enlace:

Para instalar Audacity basta con descargarse el programa desde este enlace: Grabar sonidos para bits con Audacity Dis@nedu: Diego Guerrero y Santiago Ortiz www.disanedu.com Audacity es un editor de audio gratuito y libre. Permite grabar sonidos (desde un micrófono, línea de entrada

Más detalles

DIEGO TEJADA, CRISTINA GALVIS, ANDRES SUCERQUIA

DIEGO TEJADA, CRISTINA GALVIS, ANDRES SUCERQUIA Página: 01 Objetivo: Configurar un servidor con IIS 7 para publicación web, incluyendo soporte PHP. ACTIVIDAD Como requisito debes tener instalado un servidor Windows 2008 Server con el rol de Web Server,

Más detalles