Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica

Documentos relacionados
Universidad Nacional Autónoma de México

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11

Con las siguientes prácticas se quiere conocer, hallar y manejar las características propias de una Turbina tipo Pelton.

Diseño de una calculadora

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

Generación de informes de venta en FARMATIC Generación de informe año en curso

PROYECTO DE DISEÑO 2 INFORMACIÓN PRELIMINAR

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Lab 2: Sumador/Restador en System Generator

Dispositivos de Memoria

Manual Power Point Gráficos Smart Art

SESIÓN 9 TRABAJO CON LOS OBJETOS GRÁFICOS DE POWER POINT

CREANDO DOCUMENTOS CON EL PROCESADOR DE TEXTO WORD

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

PRACTICA No. 4 CONSTRUCTOR VIRTUAL

Guías de Windows XP. Primeros pasos en Windows XP. Contenidos. 1. El escritorio de Windows

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Portal de Proyectos. A continuación se presenta el instructivo para el cargue de información en el Portal de Proyectos.

AUTOMATIZACIÓN INDUSTRIAL

Cómo crear animaciones en Flash?

1. La nueva interfaz del programa

Brillante Iluminación S.A. de C.V. NOMBRE: MEMORIA SUNLITE CÓDIGO: LMEMO01 FAMILIA: ACCESORIOS MANUAL DE INSTALACIÓN - MEMORIA SUNLITE

ALGUNAS AYUDAS PARA EL ACCESO AL AULA DIGITAL Contenido

Guía de uso Tarjeta Nexys 2 FPGA Spartan-3E

Fondo Sectorial de Innovación Secretaría de Economía Conacyt. Convocatoria

Ejercicio 7-1 Prueba de Diodos y Determinación de su Polaridad

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Tema 7 - Registros, contadores y memorias

Practica No. 1 Circuitos Secuenciales

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo.

LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales

GUÍA RÁPIDA DE CONSULTA

MATLAB. (PARTE III) APLICACIONES EN CONTROL CON SIMULINK SIMULINK

Introducción. Elementos de Excel

Inmediatamente se desplegará el siguiente panel de opciones

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales

Para ingresar al programa, pruebe una de las siguientes opciones: a) Haga doble clic sobre el ícono de acceso directo del Escritorio.

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V

Microsoft Office Word

Sistemas Digitales Trabajo Práctico 2. Voltímetro digital con salida VGA

Facultad de Química. Departamento de Química Analítica. Química Analítica Experimental I DIAGRAMA DE FLUJO. Joaquín Preza.

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA

Crear gráficos en Excel Un gráfico es la representación gráfica de los datos de una hoja de cálculo y facilita su interpretación.

Operaciones básicas con hojas de cálculo

Parámetros de la impresora

Circuito de refresco de un Display

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL

SIMULACIÓN CON PROTEUS

EXCEL 2003 AVANZADO Rev1 ( )

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7

Manual de referencia de la tarjeta BASYS 2

GUIA DE USO DRIVER KYOCERA 6.1 UNIVERSIDAD ICESI

Laboratorio de Control Industrial

USO DE EXCEL COMO HERRAMIENTA DIDÁCTICA

Curso Presentaciones MS Power Point. Objetivos curso:

Trabajo práctico 2. Contadores

Tutorial de VHDL: Contadores y Simulación

SOLICITUD DE MERCANCIA

Transiciones en blender.

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

CREACIÓN DE ANIMACIONES Y SÍMBOLOS

UNIVERSIDAD NACIONAL EXPERIMENTAL DEL TACHIRA DEPARTAMENTO DE INGENIERIA ELECTRONICA NUCLEO DE INSTRUMENTACION Y CONTROL

A. Vamos a crear la fórmula EJERCICIO 17: TRABAJAR TEXTOS. Cómo se hace...

1. Introducción... 2

Personalizando efectos y creando organigramas

MANUAL DEL USUARIO DE LA PLATAFORMA DE GESTION EDUCATIVA (PARA EL DOCENTE)

Programación de autómatas

Internet Information Server

SESION3 CREANDO UNA PRESENTACION

COMO CREAR UN DIAGRAMA DE FLUJO

Introducción a Verilog y XILINX

Apuntes de Regulación y Automatización. Prácticas y Problemas.

INSTITUTO NACIONAL SUPERIOR DEL PROFESORADO TÉCNICO - TÉCNICO SUPERIOR EN INFORMÁTICA APLICADA - PROGRAMACIÓN I

EVIDENCIA. CUESTIONARIO ALUMNO(A): GRUPO EVALUACIÓN

Document Capture Pro 2.0 para Windows

Unidad Curricular: Tic y la Enseñanza en el Nivel Inicial y primario. Actividad N 10 Parte 2

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas

MICROSOFT POWERPOINT MICROSOFT POWERPOINT Manual de Referencia para usuarios. Salomón Ccance CCANCE WEBSITE

Práctica de laboratorio: Configuración del navegador en Windows 8

Tema: CREACIÓN DE DIAGRAMAS ESQUEMATICOS CON MICROSOFT VISIO

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

SISTEMAS ELECTRÓNICOS DIGITALES

1. Introducción. 2. Procedimiento. 3. Descripción del controlador de luces de tráfico

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

ESTUDIO Y DESARROLLO DEL SOFTWARE Y HARDWARE DE UN SISTEMA DE CONTROL DEL MOVIMIENTO DE UN TELESCOPIO

Animación y transición - Últimos retoques. Imprimiendo transparencias o diapositivas

TRABAJO DE TITULACIÓN PREVIO A LA OBTENCIÓN DEL TÍTULO DE INGENIERO EN MECATRÓNICA

TARJETA DE DESARROLLO CPLD

Ámbito Práctico I.E.S. Lanjarón - Curso 10/11

MANUAL DE FIRMA ELECTRONICA OUTLOOK

DESCRIPCIÓN DE LA ASIGNATURA

placas de prototipado (i) Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas

Curso Microsoft Office 2013 Documento de apoyo al programa de capacitación

Introducción al Diseño Digital con FPGAs.

EXCEL I UNIDAD 1 EMPEZANDO A TRABAJAR CON EXCEL (SEMANA 1)

INSTITUCIÓN EDUCATIVA JOSÉ EUSEBIO CARO ÁREA DE TECNOLOGÍA E INFORMÁTICA 2016 DOCENTE JESÚS EDUARDO MADROÑERO RUALES GRADO OCTAVO TEMA

Transcripción:

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Alumnos: Chávez Ordoñez César Alejandro Garduño Aguilar Natanael Jesua Profesora: M.I. Norma Elva Chávez Rodríguez Laboratorio de Diseño de Sistemas Digitales

Práctica 12 Diseño y construcción del control de una lavadora automática Semestre 2014-1 Objetivo: El alumno entenderá y realizará esta práctica para obtener el conocimiento de la herramienta diagrama de estados de la plataforma ISE de la compañía Xilinxs. Especificaciones: Se desea diseñar y construir el control de una lavadora automática que tenga un lavado compuesto por tres funciones principales estas son el ciclo de suministro de agua (se indicará mediante un led la activación de este motor), el ciclo de lavado (se indicará mediante otros dos leds que se prenden y apagan a distinto tiempo, simulando las aspas controladas por ese motor) y el ciclo de centrifugado, para la succión del agua (se indicará mediante dos leds la activación de este motor). Diagrama de bloques:

Diagrama de secuencias: En esta práctica se han establecido 8 estados los cuales serán los que hay que implementar y son de la siguiente forma: Motor llenado izquierda Motor derecha llenado Motor izquierda drenado Motor drenado Derecha Procedimiento: Iniciamos creando un nuevo proyecto y colocando los datos de la tarjeta:

Se crea un nuevo proyecto con el tipo de diagrama de estado donde se desplegará una ventana para insertar los estados que se requieran: Esta ventana es la ventana principal de la herramienta y en esta se trabajará con todo lo que tenga que ver con el diagrama de estados:

Ahora se ponen los estados que se necesiten (segundo botón del menú de la izquierda) en este caso se anotan 8 estados: Se crea un reset en el estado 0 (con la cuarta herramienta de arriba abajo de la cinta de la izquierda) y también un bus de salida (con la séptima herramienta de arriba a abajo de la cinta de la izquierda) para que este atienda a los estados y cada una de sus salidas:

Dando doble clic a cada estado se accede a sus propiedades estas la modificaremos pero solo modificaremos el nombre de cada estado y la salida de cada uno de estos así como se verá en las imágenes siguientes. Se unen todos los estados con la tercer herramienta de la cinta de la izquierda (flecha azul) respetando la dirección de ellos para crear una secuencia de cambios de estados: Dentro de Xilinx se tiene la opción de poder convertir el diagrama de estados a lenguaje VHDL, la cual se encuentra en el menú superior de iconos grandes de la ventana (quinto botón de izquierda a derecha) y al hacer clic se despliega la siguiente ventana:

Se añaden fuentes existentes que es lo que se creó como lavadora (este es el archivo generado por Xilinx ISE) y divisor (esta se obtiene del divisor de frecuencias hecho en clase), se checa sintaxis y se crea el símbolo de ambas. Se crea el esquemático llamado top (el nombre es indistinto), se insertan los símbolos, se definen las entradas y salidas. Por último se implementa el archivo tipo esquemático llamado top y sus respectivas salidas en la tarjeta (datos de localización de leds y push botón de la tarjeta) para generar el archivo.bit para que sea programado en esta misma con la herramienta Adept que se debe encontrar instalada en nuestra PC.

Resultados: Al final de la implementación se obtiene el siguiente resultado en la tarjeta y se comprueba que nuestro diagrama de estados fue implementado con éxito. He aquí fotos del funcionamiento de la lavadora, viendo que si cambia de estado: Llenado de agua Aspa izquierda

Aspa derecha Drenado

Conclusiones: Esta práctica de verdad mostró la forma de manejar bien las herramientas de Xilinx ISE ya que esta herramienta nos ayuda si aún no dominamos bien algún lenguaje HDL, a pasar un diagrama de estados sencillo a código vhdl por lo tanto es de suma importancia para comprender y obtener una ventaja de esta herramienta de desarrollo de sistemas digitales. Se debe hacer notar que el mismo diagrama de estados en lenguaje VHDL ocupa menos espacio en el FPGA que le código que utiliza esta herramienta. Observaciones: Se modificó el código de divisor para esta práctica puesto que se colocó una velocidad menor a las veces anteriores a esta y por lo tanto se forzó a que cada estado durará más y también se comentó en este código la salida rápido puesto que esa salida no era de importancia ya que no utilizaríamos los displays.