UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I.

Documentos relacionados
El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos.

CREAR PROYECTO EN ISE v9.2 DE XILINX

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE

placas de prototipado (i) Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

PLATAFORMA DE DISEÑO ISE

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

Pasos para conectar la CPLD al cable JTAG USB

MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11

CIRCUITOS COMBINACIONALES CON isplever

Practica No. 1 Circuitos Secuenciales

Practica No. 1 Circuitos Secuenciales. 1.- Siga los pasos siguientes para configurar un contador de 4 bits usando el ambiente de desarrollo Quartus.

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales

INTRODUCCIÓN ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

Modulo de desarrollo. Spartan 3 Starter Kit

Xilinx-ISE. Susana Holgado Escuela Politécnica Superior UAM

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Tutorial. Captura de esquemas y simulación lógica con la herramienta ISE

ESTACION TOTAL BAJADA DE DATOS

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V

Introducción a Verilog y XILINX

INSTRUCTIVO PARA LA CREACIÓN CARPETAS Y TRASLADO DE LA INFORMACIÓN

TUTORIAL DE INSTALACION DEL PROGRAMA ATP DRAW 5.7 (Por Saul Gamarra Q.) Primero ubicamos en el escritorio o lugar donde este la carpeta ATPDraw

Tutorial de VHDL: Contadores y Simulación

Tutorial de Xilinx ISE

OPENOFFICE IMPRESS. Uso básico Basic usage

ENTORNO DE TRABAJO E INTRODUCCIÓN A JAVA - ANEXO Versión: 1.0.1

Desarrollo y Construcción de Prototipos Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Guía para alta de serie y folios fiscales

Práctica 1. Diseño y simulación de un circuito combinacional usando puertas lógicas

INSTALACIÓN ISE DE XILINX INC. Versión 1.1. Carlos Mario Martínez Castro

TRUECRYPT. QUÉ ES? PROCESO DE INSTALACIÓN.

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica

Guía del Entorno de Desarrollo de Java. Eclipse

Diseño de Hardware con VHDL

PLATAFORMA DE DISEÑO QUARTUS

Usando HADES Parte 3

Circuitos Lógicos: SDI Práctica 2. BCD a 7 segmentos

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna

GAL22V entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10).

En caso de Windows XP: Abrir Mi PC En caso de Windows 7: Abrir Equipo ubicado en el escritorio de Windows

Creado por Ing. Dionisio Sosa Salazar. Manual para Conexión. Internet

Práctica 2 Control de motores de DC con la tarjeta TerasIC DE0-Nano

El programa Qtiplot puede ser descargado desde

Sistemas Digitales Trabajo Práctico 2. Voltímetro digital con salida VGA

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E

Qtiplot Windows. Guía de Inicio Rápido. Vers. 2.1

SISTEMAS OPERATIVOS DE RED WINDOWS SERVER Servidor de aplicaciones (IIS, ASP.NET)

Luego una vez creado el proyecto pondremos un boton, el que queramos a elegir entre muchos.

Índice de contenido Crear un tema nuevo...3 Editar un tema...6 Insertar diferentes elementos en el body...7 Insertar una imagen...

GUÍA DE AYUDA No. 679

Control de Accesos SisMod

Instalación Clientes. Revisión: 19/01/2015

ASISTENTE PARA PLANTILLAS EXCEL 2003

Manual de creación de códigos de ítem en QuickBooks

Edición, Simulación y Síntesis con isplever

Lab 2: Sumador/Restador en System Generator

Desarrollo y Construcción de Prototipos Electrónicos

Guía para factura con moneda extranjera

1. Instalar el componente en el sitio por Extensiones gestor de extensiones.

CONFIGURACIÓN DE FIRMA ELECTRÓNICA CON ADOBE READER DC

Universidad Nacional Autónoma de México

Qué es Microsoft Word?... 2 Cómo ingresar a Microsoft Word?... 2 Cómo salir de Microsoft Word?... 4

Índice. Calendario... 3

Laboratorio de Diseño Lógico Charla Introductoria 1.2. Ing. Luis C. Rosales A

Mi primer programa en Code::Blocks

KOMPOZER. Opciones básicas para diseño web

Módulos Adicionales: Control de Calidad Asistencial

Manual de referencia de la tarjeta BASYS 2

Descarga e Instalación de Java Development Kit (JDK)

Guía de Usuario CÓMO CONSULTAR EL ESTADO DE TUS EXPEDIENTES JUDICIALES POR INTERNET CONSULTAS DE EXPEDIENTES JUDICIALES - CEJ

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

ACTIVIDAD PRÁCTICA FINAL EN AUTOPLAY TUTORÍA 5

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

Tutorial para el uso e instalación del Servicio de Reportes SQL Server

1.- CREAR UNA BASE DE DATOS CON ACCESS

Tutorial de Instalación de PHPDesigner y Wampserver

MANUAL DE INSTALACIÓN DE DISPOSITIVOS

LABORATORIO Nº 6 SUMA DE DOS NUMEROS EN POWER BUILDER

Integración de Toolchain sobre IDE gráfico basado en Eclipse

2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory.

Capítulo 3 Macros Introducción

Cómo crear una base de datos en Access con Visual Basic Autor: Jorge Alvarez

USO DEL ENTORNO DE NETBEANS PARA LA CONEXIÓN DE BASE DE DATOS

Instalación de Dev-Pascal en entornos Windows

Manual de uso de Editor V.

Diseño de Hardware con VHDL

UNIVERSIDAD NACIONAL DE COLOMBIA AUTOMATIZACIÓN DE PROCESOS DE MANUFACTURA

SERVICIOS, SISTEMAS Y PROTECCION Manual del software Time & Attendance

Para instalar Audacity basta con descargarse el programa desde este enlace:

DIEGO TEJADA, CRISTINA GALVIS, ANDRES SUCERQUIA

Transcripción:

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I. NORMA ELVA CHÁVEZ REPORTE DE LA PRÁCTICA DISEÑO Y CONSTRUCCIÓN DE UN CODIFICADOR ALUMNO: BERNAL TÉLLEZ MARIO NO CUENTA: 308028469

Diseño y construcción de un codificador. Especificaciones: Diseño y construcción de un codificador de 7 entradas. Pasos: 1. Crear carpeta en el escritorio con el nombre de cody 2. Abir la carpeta, copiar y pegar Deco.VHD (el que se realizó la clase anterior)

3. Abrir ISE-Xilinx Y realizar los siguientes pasos ya conocidos: -File > New Project (Se abre una ventana) New Project Wizard - Device Properties, donde pondremos lo siguiente: Project Name: cody Y en Project Location selecionamos la carpeta que se creó en el escritorio. Por último en Top-Level Source type: HDL Damos clic en Next

Después nos parecerá la siguiente ventana la cual llenaremos de la siguiente manera: - Product Category: General Purpose - Family: Spartan3 - Device: XC3S200 - Package: FT256 - Speed: -5 - Synthesis Tool: XST (VHDL/Verilog) - Simulator: ISE Simulator (VHDL/Verilog) Damos clic en Next Nos parecerá la siguiente ventana, donde daremos clic en New Source

Nos saldrá la siguiente ventana y seleccionaremos VHDL Module y en File Name le pondremos cody, posteriormente damos clic en Next Ahora definiremos nuestros vectores que serian los siguientes: N(7:0) A(3:0)

Ahora daremos clic en Next, Finish, Next, Next, y nos aparecerá un resumen de lo que hemos hecho. Daremos clic en Next. Ahora ya tenemos que escribir el código de nuestra práctica, dentro de la sección del begin y end de la architecture Behavioral, en la cual escibiremos el siguiente código:

4. Abrir la pestaña de 1 Synthesize XTS, y dar doble clic en 2 Check Syntax y esperaremos a que se ponga una palomita verde, de lo contrario hay un error, tenemos que revisar el código. 5. Crearemos un símbolo esquemático de la siguiente manera: Abrimos la pestaña de 1 Design Utilities y damos doble clic en 2 Create Schematic Symbol

6. El siguiente paso es adicionar el decodificador haciendo click en la opción : Add Existing Source, que se encuentra dentro de la ventana de los procesos, seleccionar -> deco.vhd Es el que habíamos guardado en nuestra carpeta cody 7. Checar en Source que este seleccionado deco 8. Abrir la pestaña Synthesize XTS, y dar doble clic en Check Syntax

9. Checar en la ventana de las fuentes Source que este seleccionado deco 10. Crear Schematic Symbol, cuando se crea en la parte inferior aparece lo siguiente: Process Create Schematic Symbol completed successfully 11. Crear una nueva fuente dentro de nuestro proyecto New Source -> Schematic ->TOP NOTA: No debemos poner el mismo nombre, en este caso cody, ya que si ponemos el mismo nombre se nos borrará todo lo que ya tenemos hecho. Pondremos el nombre de TOP

Damos clic en Next y después Finish 12. En la ventana que tenemos sacaremos nuestro Cody y Deco Ahora hacemos lo siguiente

13. Guardamos lo realizado. Ahora nos vamos a la ventana TOP.SCH y luego en processes, para dar doble clic en Synthesize XTS NOTA: en ocasiones nos marcara WARNIG, pero podemos seguir adelante

14. Por ultimo damos clic en User Constraints -> Crate Area Constraints Y ahora pondremos los pines respectivamente de nuestra tarjeta, en este caso la SPARTAN Guardamos todo y ahora solo nos queda conectar nuestra tarjeta SPARTAN 3

Conclusión: En la realización de esta práctica vimos algo de las clases anteriores lo que me sirvió para repasar y así aprender un poco más sobre la utilización del lenguaje VHDL y el uso de un FPGA. Al terminar esta práctica aprendí a crear codificadores para después utilizarlos, haciendo que los proyectos sean más fáciles en su solución.