Laboratorio de Diseño de Sistemas Digitales

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Laboratorio de Diseño de Sistemas Digitales"

Transcripción

1 Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto? Restricciones Cuán rápido? cuánta área? cuánto cuesta? Transformación de bloques abstractos funcionales en objetos concretos Proceso de Diseño Implementación: Ensamble de bloques primitivos en bloques más complejos Alambrado de la composición resultante Escoger alternativas para mejorar el diseño Depuración (Debug): Sistemas defectuosos: fallas de diseño, composición y componentes Se debe diseñar para hacer al depuración más efectiva Habilidades para la formación de hipótesis y resolución (troubleshooting) Diseño Digital Tecnologías de circuitos Comportamiento Bloques Formas de onda Compuertas Tablas de verdad Álgebra booleana Conmutadores ASIC MOS TTL Representaciones del diseño Simulación Síntesis Diseño ayudado por computadora (CAD) Tecnologías de prototipo PAL, PLA, ROM, CPLD, FPGA

2 Diseño Digital Decenas de compuertas (SSI). Cientos de compuertas (MSI). Miles de compuertas (LSI). Decenas de miles de compuertas (VLSI). Diseño Digital Lógica : Forma rápida y directa de integrar aplicaciones Permite independizar el proceso de fabricación del proceso de diseño El sistema desplaza los errores de alambrado al campo de la programación. Los sistemas se pueden borrar y reprogramar en casos de cambios o revisiones. Se reduce el espacio físico de la aplicación. El diseño está basado en bibliotecas y mecanismos específicos de mapeado de funciones. Dispositivos lógicos programables PLD (Programmable Logic Devices) PAL (Programmable Array Logic) GAL (Generic Array Logic) FPGA (Field Programmable Gate Array) Características Se utilizan para reemplazar circuitos SSI y MSSI en muchas aplicaciones. Ahorran espacio. Reducen número de componentes necesarios. Formado por matriz de compuertas AND y OR que se pueden programar para conseguir una función lógica.

3 Clasificación PROM (Programmable Read Only Memory) PLA (Programmable Logic Array) Clasificación GAL (Generic Logic Array) Utiliza tecnología E 2 MOS Entradas AND OR Salidas Entradas AND OR fija y lógica de salida programable Salidas PAL (Programmable Array Logic) Utiliza tecnología TTL o ECL Entradas AND OR fija y lógica de salida Salidas FPGA s Un bloque lógico programable de FPGA es menos eficiente que un PLD típico, pero un chip FPGA contiene mucho más bloques lógicos que los CPLD del mismo tamaño. Lenguajes de Descripción de Hardware (HDL s) Lenguaje de alto nivel orientado que permite modelar diseños digitales de alta complejidad Orientado a la descripción de estructuras y el comportamiento del hardware Es un lenguaje utilizado para describir sistemas digitales (desde un simple FF hasta un microprocesador) Usando HDL s se pueden describir en forma textual cualquier hardware digital a cualquier nivel Lenguajes de Descripción de Hardware (HDL s) Dos HDL s estándar apoyados por IEEE: VHDL : Creado por el Departamento de Defensa de los EEUU como parte del proyecto Very High Speed Integrated Circuit (VHSIC). Verilog HDL: Lenguaje ampliamente utilizado en la industria

4 Lenguajes de Descripción de Hardware (HDL s) Dos aplicaciones: Simulación Representación de la estructura y comportamiento de un sistema lógico digital empleando una computadora Síntesis Es la transformación de una idea en un dispositivo manufacturable que realiza una función específica Síntesis Transformar un sistema especificado con un HDL en compuertas lógicas de una tecnología particular. Por qué hacer síntesis? Abstracción: enfocarse en el nivel más alto posible. Portabilidad: hacer diseños independientes de la tecnología Productividad: permite hacer diseños grandes sin ahogarse en detalles de bajo nivel Pasos del proceso Síntesis: Traducción + Optimización Lógica+Mapeo Traducción: Convierte un HDL en compuertas genéricas Compilación: Optimización + Mapeo Convierte las compuertas genéricas en compuertas de una tecnología en particular Metodologías de Diseño Dos metodologías básicas: Botton-Up Top-Down

5 Metodología Top-Down Metodología Top-Down Especificaciones Particionamiento Implementación Ensamble Permite pruebas tempranas, fácil cambio de diferentes tecnologías, un sistema de diseño estructurado y otras ventajas Después de cada paso, debe verificarse el resultado! Metodología Top-Down Especificaciones: Concretar el diseño del circuito Verificar el diseño antes de manufacturarlo Información que puede ser compartida (referecias, documentación) Consiste en un lenguaje de simulación exacto que puede ser simulado Metodología Top-Down Particionamiento: Dividir el diseño total en varias partes más pequeñas Permite hacer uso de JERARQUÍAS Aspectos importantes: Regularidad: Identificar bloques regulares y aleatorios Aspectos del timing Aspectos topológicos: Tener una idea de la forma del circuito Una idea del tamaño de cada parte Enrutamiento Optimizar el uso del área Tecnología a utilizar

6 Metodología Top-Down Implementación Los pasos a seguir dependen del tipo de dispositivo que se esté utilizando en el diseño. Trazado del mapa Colocación y enrutamiento, Creación del archivo para la programación del dispositivo: indica el estado de las conexiones. Este archivo se usa para programar (o quemar el chip). Revisar si el circuito se adapta al chip Ensamble El ensamble es hecho de una manera jerárquica, empezando desde el nivel más bajo. (Bottom-Up) Niveles de abstracción Niveles de abstracción en HDL s Algorítmico: Relación funcional entre las entradas y salidas del sistema, sin hacer referencia a la realización final Transferencia de registros (RTL): Consiste en la partición del sistema en bloques funcionales, sin considerar en detalle la realización final de cada bloque. Lógico o de compuertas: El sistema se expresa en términos de ecuaciones lógicas o de compuertas. Niveles de abstracción en Verilog Existen cuatro niveles de abstracción en Verilog HDL: Nivel de comportamiento (Behavioral level) Nivel de flujo de datos (Dataflow level) Nivel de compuertas (Gate level) Nivel de conmutación (Switch level)

7 Niveles de abstracción en Verilog Nivel de comportamiento: Nivel más alto de abstracción Describe un sistema por medio de algoritmos concurrentes. Nivel de flujo de datos: Se especifica como fluyen los datos entre los registros del hardware Especifica las características del circuito por medio de operaciones y transferencia de datos entre registros. Describe como los datos son procesados en el diseño Diseño asincrónico Niveles de abstracción en Verilog Nivel de compuertas: Define niveles lógicos Implementado en términos de compuertas lógicas y la interconexiones entre ellas. Diseñar en este nivel es muy similar a realizar un esquemático del sistema Nivel de conmutación: Nivel más bajo de abstracción Los módulos son implementado con base en transistores, algunos misceláneos y las interconexiones entre ellos Transferencia de Registros Usar un estilo de codificación RTL significa describir: Arquitectura de registros Topología del circuito Funcionalidad entre registros Consejos generales Código fuente de calidad Códigos funcionalmente equivalentes pero codificados diferente pueden dar resultados de síntesis diferentes Software no arregla el diseño Se debe pensar en Hardware Piense en la topología implícita dentro del código Sistemas sincrónicos Corren sin problemas por síntesis, pruebas, simulación y distribución Aislar lógica asíncrona en bloques compilados separadamente Documente sus diseños

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

Por el rápido crecimiento de Internet la tecnología se ha tenido que adaptar para cubrir las

Por el rápido crecimiento de Internet la tecnología se ha tenido que adaptar para cubrir las Capítulo 1 Introducción Por el rápido crecimiento de Internet la tecnología se ha tenido que adaptar para cubrir las demandas de mayor ancho de banda. Para cubrir esta demanda los proveedores de Internet

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

Introducción 7. Introducción

Introducción 7. Introducción Introducción 7 Introducción En las últimas décadas hemos asistido a un rápido desarrollo de los sistemas electrónicos digitales, origen y consecuencia del crecimiento de las redes de comunicaciones, de

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

ESCUELA NORMAL PROFESOR CARLOS A. CARRILLO

ESCUELA NORMAL PROFESOR CARLOS A. CARRILLO ESCUELA NORMAL PROFESOR CARLOS A. CARRILLO Primer Semestre Licenciatura en Educación Primaria Profesor: Cruz Jorge Fernández Alumna: Sandra Carina Villalobos Olivas Unidad II ACTIVIDAD 3 Software Se conoce

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia Qué es una Red? Es un grupo de computadores conectados mediante cables o algún otro medio. Para que? compartir recursos. software

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

Fundamentos del diseño 3ª edición (2002)

Fundamentos del diseño 3ª edición (2002) Unidades temáticas de Ingeniería del Software Fundamentos del diseño 3ª edición (2002) Facultad de Informática necesidad del diseño Las actividades de diseño afectan al éxito de la realización del software

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA La Microelectrónica se puede definir como el conjunto de ciencias y técnicas con las que se realizan y fabrican circuitos electrónicos, sobre una pastilla de un

Más detalles

TECNÓLOGO EN DESARROLLO DE SOFTWARE

TECNÓLOGO EN DESARROLLO DE SOFTWARE PERFIL DE EGRESO Diseña, codifica, desarrolla e implementa software a la medida, web y móvil, de manera segura bajo los estándares internacionales; Diseña, gestiona, administra y da mantenimiento a infraestructuras

Más detalles

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i.

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. Filtros Digitales Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. En electrónica, ciencias computacionales y matemáticas, un filtro

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios INDICE 1. Conceptos Introductorias 1 1.1. Representaciones numéricas 3 1.2. Sistemas digitales y analógicos 4 1.3. Sistemas numéricos digitales 6 1.4. Representación de cantidades binarias 10 1.5. Circuitos

Más detalles

Capítulo 5. Álgebra booleana. Continuar

Capítulo 5. Álgebra booleana. Continuar Capítulo 5. Álgebra booleana Continuar Introducción El álgebra booleana fue desarrollada por George Boole a partir del análisis intuición y deducción. En su libro An investigation of the laws of Thought,

Más detalles

El grupo de trabajo IEEE 802.15 ha definido tres clases de WPANs que se

El grupo de trabajo IEEE 802.15 ha definido tres clases de WPANs que se 2 Disposiciones generales. 2.1 Tipos de WPANs. El grupo de trabajo IEEE 802.15 ha definido tres clases de WPANs que se diferencian por su rango de datos, consumo de energía y calidad de servicio (QoS).

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

PROGRAMA DE ESTUDIO. Programas académicos en los que se imparte: Ingeniería Eléctrica-Electrónica 1. DESCRIPCIÓN Y CONCEPTUALIZACIÓN DE LA ASIGNATURA:

PROGRAMA DE ESTUDIO. Programas académicos en los que se imparte: Ingeniería Eléctrica-Electrónica 1. DESCRIPCIÓN Y CONCEPTUALIZACIÓN DE LA ASIGNATURA: PROGRAMA DE ESTUDIO Nombre de la asignatura: SISTEMAS DIGITALES 1 Clave: IEE18 Fecha de elaboración: marzo 2015 Horas Horas Semestre semana Ciclo Formativo: Básico ( ) Profesional ( X ) Especializado (

Más detalles

Estructuras de Control - Diagrama de Flujo

Estructuras de Control - Diagrama de Flujo RESOLUCIÓN DE PROBLEMAS Y ALGORITMOS Ingeniería en Computación Ingeniería en Informática UNIVERSIDAD NACIONAL DE SAN LUIS DEPARTAMENTO DE INFORMÁTICA AÑO 2015 Índice 1. Programación estructurada 2 1.1.

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales Niveles de Abstracción en la Descripción de Sistemas Digitales 1 Metodologías de Diseño y Herramientas de CAD

Más detalles

320098 - ED - Electrónica Digital

320098 - ED - Electrónica Digital Unidad responsable: 320 - EET - Escuela de Ingeniería de Terrassa Unidad que imparte: 710 - EEL - Departamento de Ingeniería Electrónica Curso: Titulación: 2015 GRADO EN INGENIERÍA DE SISTEMAS AUDIOVISUALES

Más detalles

FACULTAD DE INGENIERÍA

FACULTAD DE INGENIERÍA FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales M.I. Norma Elva Chávez Rodríguez OBJETIVO El alumno comprenderá la importancia de los sistemas digitales, por lo que al terminar la it introducción ió

Más detalles

Análisis de Sistemas. M.Sc. Lic. Aidee Vargas C. C. octubre 2007

Análisis de Sistemas. M.Sc. Lic. Aidee Vargas C. C. octubre 2007 Análisis de Sistemas M.Sc. Lic. Aidee Vargas C. C. octubre 2007 Metodologías de Desarrollo de Software Las metodologías existentes se dividen en dos grandes grupos: Metodologías estructuradas Metodologías

Más detalles

Nuevas tendencias: Virtualización de computadores / servidores

Nuevas tendencias: Virtualización de computadores / servidores Nuevas tendencias: Virtualización de computadores / servidores Expositor: Ing. José Wu Chong Laboratorio de Internetworking FIA DATA Agenda Qué es un servidor? Qué servicios hay en la red? Qué es Virtualización?

Más detalles

PROGRAMA DE CURSO Modelo 2009

PROGRAMA DE CURSO Modelo 2009 REQUISITOS: HORAS: 3 Horas a la semana CRÉDITOS: PROGRAMA(S) EDUCATIVO(S) QUE LA RECIBE(N): IETRO PLAN: 2009 FECHA DE REVISIÓN: Mayo de 2011 Competencia a la que contribuye el curso. DEPARTAMENTO: Departamento

Más detalles

CAPÍTULO 7 7. CONCLUSIONES

CAPÍTULO 7 7. CONCLUSIONES CAPÍTULO 7 7. CONCLUSIONES 7.1. INTRODUCCIÓN 7.2. CONCLUSIONES PARTICULARES 7.3. CONCLUSIONES GENERALES 7.4. APORTACIONES DEL TRABAJO DE TESIS 7.5. PROPUESTA DE TRABAJOS FUTUROS 197 CAPÍTULO 7 7. Conclusiones

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

4. Programación Paralela

4. Programación Paralela 4. Programación Paralela La necesidad que surge para resolver problemas que requieren tiempo elevado de cómputo origina lo que hoy se conoce como computación paralela. Mediante el uso concurrente de varios

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO:

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ELECTRONICA ACADEMIA A LA QUE SISTEMAS DIGITALES AVANZADOS PERTENECE: NOMBRE DE LA MATERIA: DISEÑO DIGITAL ASISTIDO POR COMPUTADORA CLAVE DE LA MATERIA:

Más detalles

Tema 1. Conceptos fundamentales de los Sistemas Operativos

Tema 1. Conceptos fundamentales de los Sistemas Operativos Tema 1. Conceptos fundamentales de los Sistemas Operativos 1. Introducción a los Sistemas Operativos. 1. Concepto de Sistema Operativo. Niveles del software. 2. Funciones principales de un Sistema Operativo.

Más detalles

Reprogramación de módulos de control

Reprogramación de módulos de control Reprogramación de módulos de control Componentes de un computador. 1)Bloque de Entrada: Se denomina bloque de entrada a todos los circuitos que se encuentran como receptores de las diferentes señales que

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

Plan de estudios ISTQB: Nivel Fundamentos

Plan de estudios ISTQB: Nivel Fundamentos Plan de estudios ISTQB: Nivel Fundamentos Temario 1. INTRODUCCIÓN 2. FUNDAMENTOS DE PRUEBAS 3. PRUEBAS A TRAVÉS DEL CICLO DE VIDA DEL 4. TÉCNICAS ESTÁTICAS 5. TÉCNICAS DE DISEÑO DE PRUEBAS 6. GESTIÓN DE

Más detalles

Qué se entiende por diseño arquitectónico? Comprende el establecimiento de un marco de trabajo estructural básico para un sistema. Alude a la estructura general del software y el modo en que la estructura

Más detalles

DEPARTAMENTO DE INFORMÁTICA CICLO FORMATIVO DE GRADO SUPERIOR ADMINISTRACIÓN DE SISTEMAS INFORMÁTICOS MÓDULO: REDES DE ÁREA LOCAL CURSO: 2006-2007

DEPARTAMENTO DE INFORMÁTICA CICLO FORMATIVO DE GRADO SUPERIOR ADMINISTRACIÓN DE SISTEMAS INFORMÁTICOS MÓDULO: REDES DE ÁREA LOCAL CURSO: 2006-2007 DEPARTAMENTO DE INFORMÁTICA CICLO FORMATIVO DE GRADO SUPERIOR ADMINISTRACIÓN DE SISTEMAS INFORMÁTICOS MÓDULO: REDES DE ÁREA LOCAL CURSO: 2006-2007 Módulo Profesional: REDES DE ÁREA LOCAL Página 1 de 12

Más detalles

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha Sistemas Electrónicos igitales Práctica de 8 bits secuencial con desplazamiento hacia la derecha Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos Universidad Politécnica de

Más detalles

3.1 INGENIERIA DE SOFTWARE ORIENTADO A OBJETOS OOSE (IVAR JACOBSON)

3.1 INGENIERIA DE SOFTWARE ORIENTADO A OBJETOS OOSE (IVAR JACOBSON) 3.1 INGENIERIA DE SOFTWARE ORIENTADO A OBJETOS OOSE (IVAR JACOBSON) 3.1.1 Introducción Este método proporciona un soporte para el diseño creativo de productos de software, inclusive a escala industrial.

Más detalles

ESCUELA NORMAL PROFESOR CARLOS A. CARRILLO SANTA MARÍA DEL ORO, EL ORO, DGO. LAS TIC EN LA EDUCACIÓN LICENCIATURA EN EDUCACIÓN PRIMARIA

ESCUELA NORMAL PROFESOR CARLOS A. CARRILLO SANTA MARÍA DEL ORO, EL ORO, DGO. LAS TIC EN LA EDUCACIÓN LICENCIATURA EN EDUCACIÓN PRIMARIA ESCUELA NORMAL PROFESOR CARLOS A. CARRILLO SANTA MARÍA DEL ORO, EL ORO, DGO. LAS TIC EN LA EDUCACIÓN UNIDAD 2 LICENCIATURA EN EDUCACIÓN PRIMARIA SEMESTRE 1 C. ALMA EDITH DELGADILLO MEDRANO SOFTWARE Se

Más detalles

TEMARIO DE PROFESORES TÉCNICOS DE F.P. SISTEMAS Y APLICACIONES INFORMÁTICAS

TEMARIO DE PROFESORES TÉCNICOS DE F.P. SISTEMAS Y APLICACIONES INFORMÁTICAS TEMARIO DE PROFESORES TÉCNICOS DE F.P. SISTEMAS Y APLICACIONES INFORMÁTICAS "Publicado en el B.O.E. de 13 de febrero de 1.996" OCTUBRE 1997 SISTEMAS Y APLICACIONES INFORMÁTICAS 1. Representación y comunicación

Más detalles

Estructuras de Control - Diagrama de Flujo

Estructuras de Control - Diagrama de Flujo Introducción a la Programación - Introducción a la Computación - Fundamentos de la Informática Ing. Electrónica - T.U.G. - T.U.E. - T.U.R. - T.U.W.- Prof. Tec. Elect. - T.U.T - T.U.M Área de Servicios

Más detalles

EL PROCESO DE DISEÑO DEL SOFTWARE

EL PROCESO DE DISEÑO DEL SOFTWARE UNIDAD VI EL PROCESO DE DISEÑO DEL SOFWARE Contenido: 6.1 El diseño en la Ingeniería de Software 6.2 El proceso de Diseño 6.3 Fundamentos de Diseño 6.4 Diseño de Datos 6.5 Diseño Arquitectónico 6.6 Diseño

Más detalles

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I TEMA 5 Introducción n a los Sistemas Digitales TEMA 5. Introducción n a los Sistemas Digitales 5.1 Sistemas Digitales 5.2 Sistemas Combinacionales 5.3 Sistemas

Más detalles

UNIVERSIDAD AUTÓNOMA DE YUCATÁN FACULTAD DE MATEMÁTICAS MISIÓN

UNIVERSIDAD AUTÓNOMA DE YUCATÁN FACULTAD DE MATEMÁTICAS MISIÓN UNIVERSIDAD AUTÓNOMA DE YUCATÁN FACULTAD DE MATEMÁTICAS MISIÓN Formar profesionales altamente capacitados, desarrollar investigación y realizar actividades de extensión, en Matemáticas y Computación, así

Más detalles

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7 UNIVERSIDAD NACIONAL DE LA MATANZA DEPARTAMENTO DE INGENIERIA E INVESTIGACIONES TECNOLOGICAS INGENIERIA EN INFORMATICA ARQUITECTURA DE COMPUTADORAS (1109) Profesor Titular: Ing. Fernando I. Szklanny PLANIFICACIÓN

Más detalles

Competencias generales vinculadas a los distintos módulos Módulo de Formación Básica

Competencias generales vinculadas a los distintos módulos Módulo de Formación Básica Competencias generales vinculadas a los distintos módulos Módulo de Formación Básica C1. Capacidad para la resolución de los problemas matemáticos que puedan plantearse en la ingeniería. Aptitud para aplicar

Más detalles

REDES AD HOC INFORME DE REDES DE COMPUTADORES I. Felipe Muñoz 201321074-0 Jonathan Porta 201321054-6 Matías Contreras 201321034-1

REDES AD HOC INFORME DE REDES DE COMPUTADORES I. Felipe Muñoz 201321074-0 Jonathan Porta 201321054-6 Matías Contreras 201321034-1 REDES AD HOC INFORME DE REDES DE COMPUTADORES I Nombre ROL Felipe Muñoz 201321074-0 Jonathan Porta 201321054-6 Matías Contreras 201321034-1 Profesor: Agustín González Fecha: 28 de Julio del 2014 Nota:

Más detalles

capitulo3 MARCO TEÓRICO Para el diseño de la reubicación de los procesos se hará uso de la Planeación

capitulo3 MARCO TEÓRICO Para el diseño de la reubicación de los procesos se hará uso de la Planeación capitulo3 MARCO TEÓRICO Para el diseño de la reubicación de los procesos se hará uso de la Planeación Sistemática de Layout, SLP por sus siglas en inglés. Se hará uso de la simulación para comparar el

Más detalles

TEMA 1. INTRODUCCIÓN. 1. Diferentes niveles en la arquitectura de un computador

TEMA 1. INTRODUCCIÓN. 1. Diferentes niveles en la arquitectura de un computador TEMA 1. INTRODUCCIÓN 1. Diferentes niveles en la arquitectura de un computador.» Máquinas virtuales 2. Máquinas multinivel actuales. 3. Evolución histórica de las máquinas multinivel. 1 1. Diferentes niveles

Más detalles

Arquitectura de sistema de alta disponibilidad

Arquitectura de sistema de alta disponibilidad Mysql Introducción MySQL Cluster esta diseñado para tener una arquitectura distribuida de nodos sin punto único de fallo. MySQL Cluster consiste en 3 tipos de nodos: 1. Nodos de almacenamiento, son los

Más detalles

No se requiere que los discos sean del mismo tamaño ya que el objetivo es solamente adjuntar discos.

No se requiere que los discos sean del mismo tamaño ya que el objetivo es solamente adjuntar discos. RAIDS MODO LINEAL Es un tipo de raid que muestra lógicamente un disco pero se compone de 2 o más discos. Solamente llena el disco 0 y cuando este está lleno sigue con el disco 1 y así sucesivamente. Este

Más detalles

PROGRAMACIÓN ORIENTADA A OBJETOS Master de Computación. II MODELOS y HERRAMIENTAS UML. II.2 UML: Modelado de casos de uso

PROGRAMACIÓN ORIENTADA A OBJETOS Master de Computación. II MODELOS y HERRAMIENTAS UML. II.2 UML: Modelado de casos de uso PROGRAMACIÓN ORIENTADA A OBJETOS Master de Computación II MODELOS y HERRAMIENTAS UML 1 1 Modelado de casos de uso (I) Un caso de uso es una técnica de modelado usada para describir lo que debería hacer

Más detalles

Diseño orientado al flujo de datos

Diseño orientado al flujo de datos Diseño orientado al flujo de datos Recordemos que el diseño es una actividad que consta de una serie de pasos, en los que partiendo de la especificación del sistema (de los propios requerimientos), obtenemos

Más detalles

Motores de Búsqueda Web Tarea Tema 2

Motores de Búsqueda Web Tarea Tema 2 Motores de Búsqueda Web Tarea Tema 2 71454586A Motores de Búsqueda Web Máster en Lenguajes y Sistemas Informáticos - Tecnologías del Lenguaje en la Web UNED 30/01/2011 Tarea Tema 2 Enunciado del ejercicio

Más detalles

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente: Departamento de Ingeniería de Sistemas Facultad de Ingeniería Universidad de Antioquia Arquitectura de Computadores y Laboratorio ISI355 (2011 2) Práctica No. 1 Diseño e implementación de una unidad aritmético

Más detalles

Electrónica Digital I

Electrónica Digital I Electrónica Digital I Página 1 de 6 Programa de: Electrónica Digital I UNIVERSIDAD NACIONAL DE CÓRDOBA Facultad de Ciencias Exactas, Físicas y Naturales República Argentina Carrera: Ingeniería en Computación.

Más detalles

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES.

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES. 1.- a) Explica qué es un bit de información. Qué es el lenguaje binario? Bit es la abreviatura de Binary digit. (Dígito binario). Un bit es un dígito del lenguaje binario que es el lenguaje universal usado

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

TEMA 3. EL PROCESO DE COMPILACIÓN, DEL CÓDIGO FUENTE AL CÓDIGO MÁQUINA

TEMA 3. EL PROCESO DE COMPILACIÓN, DEL CÓDIGO FUENTE AL CÓDIGO MÁQUINA TEMA 3. EL PROCESO DE COMPILACIÓN, DEL CÓDIGO FUENTE AL CÓDIGO MÁQUINA Programa: Algoritmo (secuencia no ambigua, finita y ordenada de instrucciones para la resolución de un determinado problema) traducido

Más detalles

Elementos requeridos para crearlos (ejemplo: el compilador)

Elementos requeridos para crearlos (ejemplo: el compilador) Generalidades A lo largo del ciclo de vida del proceso de software, los productos de software evolucionan. Desde la concepción del producto y la captura de requisitos inicial hasta la puesta en producción

Más detalles

TEMA 5. ELECTRÓNICA DIGITAL

TEMA 5. ELECTRÓNICA DIGITAL TEMA 5. ELECTRÓNICA DIGITAL 1. INTRODUCCIÓN Los ordenadores están compuestos de elementos electrónicos cuyas señales, en principio, son analógicas. Pero las señales que entiende el ordenador son digitales.

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas digitales 2. Competencias Desarrollar y conservar sistemas automatizados

Más detalles

1.1. Tecnologías de diseño de circuitos integrados

1.1. Tecnologías de diseño de circuitos integrados 1.1. Tecnologías de diseño de circuitos integrados Durante la década de los 80, varias compañías intentaron resolver el viejo compromiso de complejidad versus estandarización. Por un lado se tenía la opción

Más detalles

UNIDAD 2: Abstracción del Mundo real Al Paradigma Orientado a Objetos

UNIDAD 2: Abstracción del Mundo real Al Paradigma Orientado a Objetos 2.1. Principios básicos del Modelado de Objetos UNIDAD 2: Abstracción del Mundo real Al Paradigma Orientado a Objetos Hoy en día muchos de los procesos que intervienen en un negocio o empresa y que resuelven

Más detalles

CSIR2121. Administración de Redes I

CSIR2121. Administración de Redes I CSIR2121 Administración de Redes I Objetivos: Al finalizar la clase el estudiante podrá: Mencionar el propósito del desarrollo del modelo TCP/IP. Explicar cada una de las capas del modelo TCP/IP. Comparar

Más detalles

Secretaría de Docencia Dirección de Estudios Profesionales

Secretaría de Docencia Dirección de Estudios Profesionales PROGRAMA DE ESTUDIO POR COMPETENCIAS SISTEMAS DIGITALES I I. IDENTIFICACIÓN DEL CURSO Espacio Educativo: Facultad de Ingeniería Licenciatura: Ingeniería Electrónica Área de docencia: Electrónica aplicada

Más detalles

Describir una metodología sistemática de análisis de los procesos organizacionales y cómo estos pueden ser apoyados por las TI.

Describir una metodología sistemática de análisis de los procesos organizacionales y cómo estos pueden ser apoyados por las TI. Procesos de Negocio Objetivos Describir una metodología sistemática de análisis de los procesos organizacionales y cómo estos pueden ser apoyados por las TI. Identificar y analizar los procesos de negocios,

Más detalles

Ingeniería de Software. Pruebas

Ingeniería de Software. Pruebas Ingeniería de Software Pruebas Niveles de prueba Pruebas unitarias Niveles Pruebas de integración Pruebas de sistema Pruebas de aceptación Alpha Beta Niveles de pruebas Pruebas unitarias Se enfocan en

Más detalles

INGENIERIA EN MICROCONTROLADORES. Maquinas de Estado. Introducción

INGENIERIA EN MICROCONTROLADORES. Maquinas de Estado. Introducción INGENIERIA EN MICROCONTROLADORES Maquinas de Estado Introducción MAQUINA DE ESTADOS www.i-micro.com Ingeniería en Microcontroladores Teléfono 044 55 11 29 55 05 E-mail: cursos@i-micro.com elp@i-micro.com

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN I. P. N. ESIME Unidad Culhuacan INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO

Más detalles

La nueva arquitectura del paquete AMORE (A MORE Flexible Neural Network)

La nueva arquitectura del paquete AMORE (A MORE Flexible Neural Network) La nueva arquitectura del paquete AMORE (A MORE Flexible Neural Network) III Jornadas de Usuarios de R Javier Alfonso Cendón, Manuel Castejón Limas, Joaquín Ordieres Mere, Camino Fernández Llamas Índice

Más detalles

Carrera: 2-4-8. Participantes Representante de las academias de ingeniería en Mecatrónica de los Institutos Tecnológicos.

Carrera: 2-4-8. Participantes Representante de las academias de ingeniería en Mecatrónica de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Electrónica Digital Ingeniería Mecatrónica Clave de la asignatura: Horas teoría-horas práctica-créditos 2-4-8 2.- HISTORIA DEL PROGRAMA Lugar

Más detalles

Compiladores y Lenguajes de Programación. Maria de Guadalupe Cota Ortiz

Compiladores y Lenguajes de Programación. Maria de Guadalupe Cota Ortiz Compiladores y Lenguajes de Programación Maria de Guadalupe Cota Ortiz Organizaciones que rigen las normas para estandarización de Lenguajes de Programación IEEE (Instituto de Ingenieros Eléctricos y Electrónicos)

Más detalles

Proceso de desarrollo del software modelo en cascada

Proceso de desarrollo del software modelo en cascada Proceso de desarrollo del software modelo en cascada Análisis: Necesidades del usuario especificaciones Diseño: Descomposición en elementos que puedan desarrollarse por separado especificaciones de cada

Más detalles

CAPAS DEL MODELO OSI (dispositivos de interconexión)

CAPAS DEL MODELO OSI (dispositivos de interconexión) SWITCHES CAPAS DEL MODELO OSI (dispositivos de interconexión) 7. Nivel de aplicación En esta capa se ubican los gateways y el software(estación de trabajo) 6. Nivel de presentación En esta capa se ubican

Más detalles

Resumen obtenido de: Roger S. Pressman, Ingeniería de Software. Un enfoque práctico, quinta edición, 2002. Introducción al Diseño de Software

Resumen obtenido de: Roger S. Pressman, Ingeniería de Software. Un enfoque práctico, quinta edición, 2002. Introducción al Diseño de Software Principio de Diseño Resumen obtenido de: Roger S. Pressman, Ingeniería de Software. Un enfoque práctico, quinta edición, 2002 Introducción al Diseño de Software Qué es el diseño? Representación ingenieril

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

Plataformas paralelas

Plataformas paralelas Plataformas paralelas Curso 2011-2012 Elementos de un computador paralelo Hardware: Múltiples procesadores Múltiples memorias Redes de interconexión Software: Sistemas Operativos paralelos Programas orientados

Más detalles

Gestión de la Configuración

Gestión de la Configuración Gestión de la ÍNDICE DESCRIPCIÓN Y OBJETIVOS... 1 ESTUDIO DE VIABILIDAD DEL SISTEMA... 2 ACTIVIDAD EVS-GC 1: DEFINICIÓN DE LOS REQUISITOS DE GESTIÓN DE CONFIGURACIÓN... 2 Tarea EVS-GC 1.1: Definición de

Más detalles

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Informe final Proyecto de Innovación Docente ID11/129 Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Participantes: Beatriz García Vasallo Raúl Rengel Estévez Miguel Ángel

Más detalles

Introducción al PSPICE

Introducción al PSPICE Pspice incluye varios programas, entre ellos está Schematics que es un programa de captura con una interfase directa a otros programas y opciones de Pspice. Con este programa se pueden realizar varias

Más detalles

La Arquitectura de las Máquinas Virtuales.

La Arquitectura de las Máquinas Virtuales. La Arquitectura de las Máquinas Virtuales. La virtualización se ha convertido en una importante herramienta en el diseño de sistemas de computación, las máquinas virtuales (VMs) son usadas en varias subdiciplinas,

Más detalles

TELECOMUNICACIONES Y REDES

TELECOMUNICACIONES Y REDES TELECOMUNICACIONES Y REDES Redes Computacionales I Prof. Cristian Ahumada V. Unidad II: Comunicación en la red Contenido 1. Introducción: conceptos generales 2. Estructura de Comunicación Genérica 3. Historia

Más detalles