SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

Tamaño: px
Comenzar la demostración a partir de la página:

Download "SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES"

Transcripción

1 ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos de alta velocidad para las comunicaciones. Productos hechos con una alta tecnología que permite aumentar la funcionalidad, disminuir costos, mejorar el aprovechamiento de la energía, así como una marcada tendencia hacia la miniaturización. Esto ha sido posible gracias a la implementación de herramientas de diseño asistidos por computadora, conocidas como herramientas CAD (Computer Aided Design), aunque específicamente se hace uso de herramientas EDA (Electronic Design Automation), que es el nombre que se le da a todas las herramientas CAD para el diseño de sistemas electrónicos. Este software de diseño electrónico que facilita a los ingenieros el desarrollo de circuitos es cada vez mas sofisticado y, además, contamos con computadoras cada vez más veloces y de mayor capacidad de procesamiento. Ambos, hardware y software, constituyen actualmente herramientas muy importantes que simplifican el trabajo de diseño electrónico. Además de facilitar el trabajo, el uso de herramientas EDA también aceleró los procesos de diseño. Esta situación condujo a adoptar nuevas metodologías para el diseño y evaluación de los circuitos electrónicos. El uso de las herramientas EDA junto con los dispositivos lógicos programables, que pueden ser utilizados en diferentes aplicaciones e inclusive reprogramados, cambiaron bastante el concepto de diseño de circuitos digitales. VHDL es un lenguaje que se creó para el diseño, modelado y documentación de circuitos complejos. Actualmente se le utiliza para la síntesis de circuitos digitales utilizando dispositivos lógicos programables. Es así como los dispositivos lógicos programables y VHDL, Very High Speed Integrated Circuit (VHSIC) Hardware Description Language, constituyen los elementos fundamentales para estas nuevas metodologías de diseño. El presente trabajo considera los dispositivos lógicos programables, enfocándonos en los PLDs, CPLDs y FPGAs. Ya que el principal motivo por el que debemos aprender a utilizar VHDL es el de diseñar circuitos lógicos utilizando este tipo de dispositivos. Se expondrán los estilos elementales de codificación, exponiendo las principales características de VHDL como lenguaje para síntesis de circuitos. Finalmente se desarrolla la implementación de filtros digitales utilizando FPGAs. i

2 ÍNDICE ANTECEDENTES i 1. LENGUAJES DE DESCRIPCIÓN DE HARDWARE INTRODUCCIÓN EL CONCEPTO DE HERRAMIENTAS CAD-EDA LENGUAJES DE DESCRIPCIÓN DE HARDWARE VENTAJAS DE LOS HDLS VHDL METODOLOGÍA DE DISEÑO UTILIZANDO VHDL 6 2. DISPOSITIVOS LÓGICOS PROGRAMABLES CONCEPTOS FUNDAMENTALES DISPOSITIVOS LÓGICOS PROGRAMABLES MATRIZ GENÉRICA PROGRAMABLE COMPLEX PROGRAMMABLE LOGIC DEVICES MATRIZ DE INTERCONEXIONES PROGRAMABLES BLOQUES LÓGICOS DISTRIBUCIÓN DE PRODUCTOS MACROCELDAS CELDA DE ENTRADA/SALIDA FIELD PROGRAMMABLE LOGIC DEVICES CELDAS LÓGICAS SINTAXIS DEL LENGUAJE INTRODUCCIÓN A LA DESCRIPCIÓN EN VHDL DE CIRCUITOS 18 DIGITALES MULTIPLEXORES COMPARADORES EL ESTILO DE PROGRAMACIÓN EN VHDL IDENTIFICADORES OBJETOS DE DATOS CONSTANTES 21 ii

3 VARIABLES SEÑALES ALIAS TIPOS DE DATOS TIPOS ESCALARES TIPOS COMPUESTOS SUBTIPOS TIPOS PREDEFINIDOS EN VHDL TIPOS NO SOPORTADOS EN VHDL PARA SÍNTESIS OPERADORES OPERADORES LÓGICOS OPERADORES DE COMPARACIÓN OPERADORES DE ADICIÓN OPERADORES DE MULTIPLICACIÓN OPERADORES MISCELÁNEOS OPERADORES DE ASIGNACIÓN OPERADORES DE ASOCIACIÓN OPERADORES DE CORRIMIENTO OPERACIONES CON VECTORES ATRIBUTOS ENTIDADES GENÉRICOS PUERTOS MODOS ARQUITECTURAS DESCRIPCIONES DE FLUJO DE DATOS INSTRUCCIONES CONCURRENTES ESTRUCTURAS DE EJECUCIÓN CONCURRENTE ALU DESCRIPCIONES COMPORTAMENTALES INSTRUCCIONES SECUENCIALES PROCESOS DIFERENCIAS ENTRE SEÑALES Y VARIABLES ESTRUCTURAS DE EJECUCIÓN SECUENCIAL DESCRIPCIONES ESTRUCTURALES 42 iii

4 COMPONENTES INSTANCIACIÓN DE COMPONENTES SENTENCIAS DE GENERACIÓN SUBPROGRAMAS PROCEDIMIENTOS FUNCIONES LLAMADO A SUBPROGRAMAS SOBRECARGA DE OPERADORES LIBRERÍAS SÍNTESIS DE LIBRERÍAS EN WARP PAQUETES DESCRIPCIÓN DE CIRCUITOS DIGITALES PROYECTOS EN WARP SIMULACIÓN DE PROYECTOS PROCESO DE SIMULACIÓN COMPARADORES MULTIPLEXORES DESCRIPCIÓN DE FLUJO DE DATOS DESCRIPCIÓN COMPORTAMENTAL DESCRIPCIÓN ESTRUCTURAL SUMADORES SUMADOR TOTAL SUMADOR DE CUATRO BITS SYNTHESIS OFF REGISTROS CONTADORES ALU DE CUATRO BITS DESCRIPCIÓN ESTRUCTURAL DESCRIPCIÓN COMPORTAMENTAL MÁQUINAS DE ESTADO IMPLEMENTACIÓN DE FILTROS DIGITALES EN FPGA'S INTRODUCCIÓN ANTECEDENTES 84 iv

5 5.3. MARCO TEÓRICO FILTROS FIR FILTROS IIR METODOLOGÍA DE DISEÑO PARA FILTROS DIGITALES IMPLEMENTACIÓN EN FPGA'S DISEÑO DE UN FILTRO PASA-BAJAS RESULTADOS 93 CONCLUSIONES 95 BIBLIOGRAFÍA 97 v

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

320098 - ED - Electrónica Digital

320098 - ED - Electrónica Digital Unidad responsable: 320 - EET - Escuela de Ingeniería de Terrassa Unidad que imparte: 710 - EEL - Departamento de Ingeniería Electrónica Curso: Titulación: 2015 GRADO EN INGENIERÍA DE SISTEMAS AUDIOVISUALES

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

TEMA 4. Unidades Funcionales del Computador

TEMA 4. Unidades Funcionales del Computador TEMA 4 Unidades Funcionales del Computador Álvarez, S., Bravo, S., Departamento de Informática y automática Universidad de Salamanca Introducción El elemento físico, electrónico o hardware de un sistema

Más detalles

PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL

PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL HOJA 1 DE 6 PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL CENTRO: TITULACIÓN: ESPECIALIDADES: CURSO: TIPO DE ASIGNATURA: CRÉDITOS: E.T.S. DE INGENIEROS DE TELECOMUNICACIÓN INGENIERO DE

Más detalles

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente: Departamento de Ingeniería de Sistemas Facultad de Ingeniería Universidad de Antioquia Arquitectura de Computadores y Laboratorio ISI355 (2011 2) Práctica No. 1 Diseño e implementación de una unidad aritmético

Más detalles

Sistemas de Computadoras Índice

Sistemas de Computadoras Índice Sistemas de Computadoras Índice Concepto de Computadora Estructura de la Computadora Funcionamiento de la Computadora Historia de las Computadoras Montando una Computadora Computadora Un sistema de cómputo

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica)

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica) Folleto de presentación Simulín Simulín Simulador de circuitos digitales para uso docente v5.60 (Julio 2014) Características Circuitos Combinacionales Puertas lógicas básicas (NOT, AND, OR, XOR, NAND,

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

Instalación de Sistemas de Automatización y Datos

Instalación de Sistemas de Automatización y Datos UNIVERSIDADE DE VIGO E. T. S. Ingenieros Industriales 5º Curso Orientación Instalaciones y Construcción Instalación de Sistemas de Automatización y Datos José Ignacio Armesto Quiroga http://www www.disa.uvigo.es/

Más detalles

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7 UNIVERSIDAD NACIONAL DE LA MATANZA DEPARTAMENTO DE INGENIERIA E INVESTIGACIONES TECNOLOGICAS INGENIERIA EN INFORMATICA ARQUITECTURA DE COMPUTADORAS (1109) Profesor Titular: Ing. Fernando I. Szklanny PLANIFICACIÓN

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

INDICE Parte I. Conceptos 1. El estudio de los lenguajes de programación 2. Cuestiones de diseño de lenguajes

INDICE Parte I. Conceptos 1. El estudio de los lenguajes de programación 2. Cuestiones de diseño de lenguajes INDICE Parte I. Conceptos 1 1. El estudio de los lenguajes de programación 1.1. Por qué estudiar lenguajes de programación? 2 1.2. Breve historia de los lenguajes de programación 1.2.1. Desarrollo de los

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

TECNÓLOGO EN DESARROLLO DE SOFTWARE

TECNÓLOGO EN DESARROLLO DE SOFTWARE PERFIL DE EGRESO Diseña, codifica, desarrolla e implementa software a la medida, web y móvil, de manera segura bajo los estándares internacionales; Diseña, gestiona, administra y da mantenimiento a infraestructuras

Más detalles

Unidad 1. Fundamentos en Gestión de Riesgos

Unidad 1. Fundamentos en Gestión de Riesgos 1.1 Gestión de Proyectos Unidad 1. Fundamentos en Gestión de Riesgos La gestión de proyectos es una disciplina con la cual se integran los procesos propios de la gerencia o administración de proyectos.

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

ÍNDICE SISTEMAS OPERATIVOS... 5

ÍNDICE SISTEMAS OPERATIVOS... 5 INTRODUCCIÓN HABILIDADES INFORMÁTICAS BÁSICAS PARA ADULTOS SKILLS es un completo curso destinado a alumnos adultos, en particular, a empleados de mayor edad, de forma que puedan adquirir/mejorar sus conocimientos

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

CARTA DESCRIPTIVA (FORMATO MODELO EDUCATIVO UACJ VISIÓN 2020)

CARTA DESCRIPTIVA (FORMATO MODELO EDUCATIVO UACJ VISIÓN 2020) CARTA DESCRIPTIVA (FORMATO MODELO EDUCATIVO UACJ VISIÓN 2020) I. Identificadores de la asignatura Instituto IIT Modalidad: Presencial Departamento: Materia: Ingeniería Eléctrica y Computación Diseño de

Más detalles

PROCESO DE VENTA CONSULTIVA MÓDULO DE GESTIÓN DE OPORTUNIDADES DE NEGOCIO

PROCESO DE VENTA CONSULTIVA MÓDULO DE GESTIÓN DE OPORTUNIDADES DE NEGOCIO PROCESO DE VENTA CONSULTIVA MÓDULO DE GESTIÓN DE OPORTUNIDADES DE NEGOCIO Este módulo permite al ejecutivo comercial definir, calificar y documentar cada una de las oportunidades de negocio en las cuales

Más detalles

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA NOMBRE DE LA ASIGNATURA: BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA PROGRAMA DE ESTUDIOS DE LA MAESTRÍA EN INGENIERÍA ELECTRÓNICA CON OPCIÓN EN INSTRUMENTACIÓN DIGITAL

Más detalles

FICHA TÉCNICA: IDENTIFICACIÓN GENERAL DEL PROYECTO.

FICHA TÉCNICA: IDENTIFICACIÓN GENERAL DEL PROYECTO. FICHA TÉCNICA: IDENTIFICACIÓN GENERAL DEL PROYECTO. NOMBRE DEL PROYECTO RED O GRUPO DE TRABAJO QUE LO PROPONE ALCANCE (Nacional, Regional, Municipal) PRODUCTO GENERAL DEL PROYECTO Semáforos inteligentes.

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

Elementos requeridos para crearlos (ejemplo: el compilador)

Elementos requeridos para crearlos (ejemplo: el compilador) Generalidades A lo largo del ciclo de vida del proceso de software, los productos de software evolucionan. Desde la concepción del producto y la captura de requisitos inicial hasta la puesta en producción

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

ESCUELA: Ingeniería Eléctrica. UNIDADES: 4 HORAS TEORÍA PRÁCTICA TRAB. SUPERV. LABORATORIO SEMINARIO TOTALES DE ESTUDIO 3 1 4

ESCUELA: Ingeniería Eléctrica. UNIDADES: 4 HORAS TEORÍA PRÁCTICA TRAB. SUPERV. LABORATORIO SEMINARIO TOTALES DE ESTUDIO 3 1 4 CÓDIGO: PAG.: 1 PROPÓSITO Esta asignatura permitirá al estudiante adquirir conocimientos en los sistemas de control industrial modernos, introduciéndolo en las redes digitales en el campo Obtendrá conocimientos

Más detalles

Proyecto MONO. Juantomás García. 1. Introducción. GNOME Hispano juantomas@lared.es

Proyecto MONO. Juantomás García. 1. Introducción. GNOME Hispano juantomas@lared.es Juantomás García GNOME Hispano juantomas@lared.es Qué es el proyecto MONO?. Estado actual del proyecto. Por qué es interesante para el software libre disponer de la tecnología relacionado con el proyecto

Más detalles

PROGRAMA DE CURSO Modelo 2009

PROGRAMA DE CURSO Modelo 2009 REQUISITOS: HORAS: 3 Horas a la semana CRÉDITOS: PROGRAMA(S) EDUCATIVO(S) QUE LA RECIBE(N): IETRO PLAN: 2009 FECHA DE REVISIÓN: Mayo de 2011 Competencia a la que contribuye el curso. DEPARTAMENTO: Departamento

Más detalles

XVI. óptimo de las capacidades de cada circuito.

XVI. óptimo de las capacidades de cada circuito. INTRODUCCIÓN Se denominan circuitos digitales configurables a aquéllos circuitos digitales cuya función puede modificar el usuario mediante la programación e interconexión de los elementos que los forman.

Más detalles

Los mayores cambios se dieron en las décadas de los setenta, atribuidos principalmente a dos causas:

Los mayores cambios se dieron en las décadas de los setenta, atribuidos principalmente a dos causas: SISTEMAS DISTRIBUIDOS DE REDES 1. SISTEMAS DISTRIBUIDOS Introducción y generalidades La computación desde sus inicios ha sufrido muchos cambios, desde los grandes equipos que permitían realizar tareas

Más detalles

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Informe final Proyecto de Innovación Docente ID11/129 Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Participantes: Beatriz García Vasallo Raúl Rengel Estévez Miguel Ángel

Más detalles

Plan docente. Laboratorio de Diseño Digital (16311/17186)

Plan docente. Laboratorio de Diseño Digital (16311/17186) Plan docente Asignatura: Laboratorio de Diseño Digital (16311/17186) Profesores: Andoni Arruti Illarramendi Carlos Amuchástegui Uriarte Izaskun Etxeberria Uztarroz Amaya Ibarra Lasa 1 INDICE 1/ DATOS MATERIA.

Más detalles

UNIVERSIDAD AUTÓNOMA DE YUCATÁN FACULTAD DE MATEMÁTICAS MISIÓN

UNIVERSIDAD AUTÓNOMA DE YUCATÁN FACULTAD DE MATEMÁTICAS MISIÓN UNIVERSIDAD AUTÓNOMA DE YUCATÁN FACULTAD DE MATEMÁTICAS MISIÓN Formar profesionales altamente capacitados, desarrollar investigación y realizar actividades de extensión, en Matemáticas y Computación, así

Más detalles

18. Camino de datos y unidad de control

18. Camino de datos y unidad de control Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 18. Camino de datos y unidad de control Un La versatilidad una característica deseable los Los

Más detalles

GUÍA DOCENTE. Sistemas Integrados

GUÍA DOCENTE. Sistemas Integrados GUÍA DOCENTE Sistemas Integrados I.- DATOS INICIALES DE IDENTIFICACIÓN Nombre de la asignatura: Sistemas Integrados Número de créditos ECTS: 4 Ubicación temporal: º Semestre Materia: Sistemas Digitales

Más detalles

Introducción a la Computación

Introducción a la Computación Introducción a la Computación MCC Marco Antonio Crúz Chávez 2003 Sistema de información Es un sistema incluido en otro sistema mayor que recibe, almacena, procesa y distribuye información. Los principales

Más detalles

Portafolio de servicios

Portafolio de servicios Portafolio de servicios Calle 613 No. 175 Oficina J, Col. Aragón 4ª y 5ª Sección, México, D.F. Teléfonos: 63.85.75.55 y 63.83.06.37 www.aztecsoluciones.com Aztec Soluciones Tecnológicas, S.A. de C.V. es

Más detalles

ANEXO 1. ANEXO TÉCNICO

ANEXO 1. ANEXO TÉCNICO ANEXO 1. ANEXO TÉCNICO DESCRIPCIÓN DEL CANAL DE COMUNICACIÓN PUNTOS DE ATENCIÓN DIGITAL, TRÁMITES Y SERVICIO- KIOSKOS El sistema de la aplicación móvil cuenta con una serie de funciones que deberán ser

Más detalles

Circuitos Digitales II y Laboratorio Electrónica Digital II y Laboratorio

Circuitos Digitales II y Laboratorio Electrónica Digital II y Laboratorio Circuitos Digitales II y Laboratorio Electrónica Digital II y Laboratorio Fundamentos de Arquitectura de Computadores Presentación del Curso Profesor: Felipe Cabarcas Correo:cabarcas@udea.edu.co Oficina:

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura:

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura: 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: (Créditos) SATCA 1 Diseño Digital Avanzado con FPGAs Ingeniería Electrónica SDJ 1203 4 2 6 2.- PRESENTACIÓN Caracterización

Más detalles

Dirección General de Educación Superior Tecnológica

Dirección General de Educación Superior Tecnológica Dirección General de Educación Superior Tecnológica 1. Datos Generales de la asignatura Nombre de la asignatura: Clave de la asignatura: Créditos (Ht-Hp_ créditos): Carrera: Tecnologías de Automatización

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

Denominación de la materia. N créditos ECTS = 36 carácter = MIXTA INGENIERIA DE COMPUTADORAS

Denominación de la materia. N créditos ECTS = 36 carácter = MIXTA INGENIERIA DE COMPUTADORAS Denominación de la materia INGENIERIA DE COMPUTADORAS N créditos ECTS = 36 carácter = MIXTA Ubicación dentro del plan de estudios y duración La materia Ingeniería de Computadoras está formada por 6 asignaturas

Más detalles

UNIVERSIDAD DR. JOSE MATIAS DELGADO

UNIVERSIDAD DR. JOSE MATIAS DELGADO NOMBRE DE LA ASIGNATURA: PROGRAMACIÓN DE COMPUTADORAS 3 a. Generalidades. Número de Orden: Prerrequisito (s): 25 Código: PRC 3 PRC 2 Ciclo Académico: Área: V Especializada U.V.: 4 Duración del Ciclo en

Más detalles

UNIVERSIDAD DR. JOSE MATIAS DELGADO

UNIVERSIDAD DR. JOSE MATIAS DELGADO NOMBRE DE LA ASIGNATURA: PROGRAMACIÓN DE COMPUTADORAS 2 a. Generalidades. Número de Orden: Prerrequisit o (s): 20 Código: PRC 2 PRC 1 Ciclo Académico: Área: IV Especializa da U.V.: 4 Duración del Ciclo

Más detalles

M.T.I. Arturo López Saldiña

M.T.I. Arturo López Saldiña M.T.I. Arturo López Saldiña Hoy en día, existen diversas aproximaciones al tema de cómo hacer que las personas trabajen dentro de una organización de manera colaborativa. El problema se vuelve más difícil

Más detalles

Gestión de la Configuración

Gestión de la Configuración Gestión de la ÍNDICE DESCRIPCIÓN Y OBJETIVOS... 1 ESTUDIO DE VIABILIDAD DEL SISTEMA... 2 ACTIVIDAD EVS-GC 1: DEFINICIÓN DE LOS REQUISITOS DE GESTIÓN DE CONFIGURACIÓN... 2 Tarea EVS-GC 1.1: Definición de

Más detalles

DISEÑO Y ELABORACIÓN DE PRÁCTICAS DE LABORATORIO PARA ROBÓTICA INDUSTRIAL UTILIZANDO MANIPULADORES ROBÓTICOS KUKA

DISEÑO Y ELABORACIÓN DE PRÁCTICAS DE LABORATORIO PARA ROBÓTICA INDUSTRIAL UTILIZANDO MANIPULADORES ROBÓTICOS KUKA DISEÑO Y ELABORACIÓN DE PRÁCTICAS DE LABORATORIO PARA ROBÓTICA INDUSTRIAL UTILIZANDO MANIPULADORES ROBÓTICOS KUKA Tania Vanessa Utreras Aguilar Departamento de Eléctrica y Electrónica, UNIVERSIDAD DE LAS

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

Dispositivos de Entrada/Salida

Dispositivos de Entrada/Salida Dispositivos E/S. CPU Memoria Central Tarjeta de Red Red BUS Controlador de Discos Dispositivos E/S Dispositivos E/S. Los dispositivos de Entrada/Salida sirven al ordenador para obtener información del

Más detalles

I SEMANA ELECTRONICA REDES NEURONALES

I SEMANA ELECTRONICA REDES NEURONALES UNIVERSIDAD NACIONAL SAN ANTONIO ABAD A DEL CUSCO CARRERA PROFESIONAL DE INGENIERIA ELECTRONICA I SEMANA ELECTRONICA REDES NEURONALES Ing. Avid idromán González Se trata de una nueva forma de computación

Más detalles

PROGRAMACION BASICA CON VISUAL BASIC

PROGRAMACION BASICA CON VISUAL BASIC PROGRAMACION BASICA CON VISUAL BASIC 1. Presentación Resumen general donde lo vaya a desarrollar e implementar. Manejar un lenguaje de programación no implica tener la capacidad de desarrollar una solución

Más detalles

Cursos de la Especialidad de Electrónica

Cursos de la Especialidad de Electrónica Cursos de la Especialidad de Electrónica PRIMER AÑO Física El curso comprende los siguientes temas: electricidad, electromagnetismo, análisis de circuitos eléctricos, medidas eléctricas, física no eléctrica.

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO:

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ELECTRONICA ACADEMIA A LA QUE SISTEMAS DIGITALES AVANZADOS PERTENECE: NOMBRE DE LA MATERIA: DISEÑO DIGITAL ASISTIDO POR COMPUTADORA CLAVE DE LA MATERIA:

Más detalles

Hardware: componentes físicos (UCP, discos, impresora, circuitos de E/S,...) Software: componentes lógicos (lo que no es hardware)

Hardware: componentes físicos (UCP, discos, impresora, circuitos de E/S,...) Software: componentes lógicos (lo que no es hardware) 1. Niveles de organización de computadoras Hardware y software Enfoque de máquina multinivel Clasificación de computadoras Hardware y Software Hardware: componentes físicos (UCP, discos, impresora, circuitos

Más detalles

CAPITULO III MARCO METODOLÓGICO. La presente investigación plantea como objetivo el diseño de un prototipo

CAPITULO III MARCO METODOLÓGICO. La presente investigación plantea como objetivo el diseño de un prototipo CAPITULO III MARCO METODOLÓGICO 1. Tipo de Investigación La presente investigación plantea como objetivo el diseño de un prototipo de robot industrial para la automatización del proceso de conformado de

Más detalles

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I TEMA 5 Introducción n a los Sistemas Digitales TEMA 5. Introducción n a los Sistemas Digitales 5.1 Sistemas Digitales 5.2 Sistemas Combinacionales 5.3 Sistemas

Más detalles

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable PROYECTO CURRICULAR Electrónica Digital y Microprogramable Ciclo Formativo Grado Medio Equipos Electrónicos de Consumo CAPACIDADES TERMINALES 1 Analizar funcionalmente circuitos electrónicos digitales,

Más detalles

Capas del Modelo ISO/OSI

Capas del Modelo ISO/OSI Modelo ISO/OSI Fue desarrollado en 1984 por la Organización Internacional de Estándares (ISO), una federación global de organizaciones que representa aproximadamente a 130 países. El núcleo de este estándar

Más detalles

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Programa. Introducción. 2. Elementos de almacenamiento. 3. Elementos de proceso. 4. Elementos de interconexión.

Más detalles

4. Programación Paralela

4. Programación Paralela 4. Programación Paralela La necesidad que surge para resolver problemas que requieren tiempo elevado de cómputo origina lo que hoy se conoce como computación paralela. Mediante el uso concurrente de varios

Más detalles

CAPÍTULO 7 7. CONCLUSIONES

CAPÍTULO 7 7. CONCLUSIONES CAPÍTULO 7 7. CONCLUSIONES 7.1. INTRODUCCIÓN 7.2. CONCLUSIONES PARTICULARES 7.3. CONCLUSIONES GENERALES 7.4. APORTACIONES DEL TRABAJO DE TESIS 7.5. PROPUESTA DE TRABAJOS FUTUROS 197 CAPÍTULO 7 7. Conclusiones

Más detalles

CONTENIDO. 1. Introducción. 2. Reseña histórica del computador. 3. Definición de computador.

CONTENIDO. 1. Introducción. 2. Reseña histórica del computador. 3. Definición de computador. CONTENIDO 1. Introducción. 2. Reseña histórica del computador. 3. Definición de computador. 4. Sistemas numéricos. 4.1 Generalidades. 42 Sistema binario. 4.3 Sistema octal,. 4.4 Sistema decimal. 4.5 Sistema

Más detalles

Arquitecturas de computadoras

Arquitecturas de computadoras Arquitecturas de computadoras Colaboratorio Nacional de Computación Avanzada (CNCA) 2014 Contenidos 1 Computadoras 2 Estación de Trabajo 3 Servidor 4 Cluster 5 Malla 6 Nube 7 Conclusiones Computadoras

Más detalles

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Las dos operaciones E/S relativas al proceso de mayor importancia son la conversión de digital a analógico D/A y la

Más detalles

configuración, no codificación

configuración, no codificación INFORME OFICIAL septiembre de 2012 configuración, no codificación Cómo CA Nimsoft Service Desk acelera la implementación y el tiempo de posicionamiento agility made possible tabla de contenido resumen

Más detalles

SISTEMAS DE INFORMACIÓN I TEORÍA

SISTEMAS DE INFORMACIÓN I TEORÍA CONTENIDO: CICLO DE VIDA DE DESARROLLO DE SI FASES GENÉRICAS DEL CICLO DE VIDA DE DESARROLLO DE SI VISIÓN TRADICIONAL DEL CICLO DE VIDA DE DESARROLLO DE SI DE DESARROLLO DE SI: ANÁLISIS Material diseñado

Más detalles

SEGURIDAD Y PROTECCION DE FICHEROS

SEGURIDAD Y PROTECCION DE FICHEROS SEGURIDAD Y PROTECCION DE FICHEROS INTEGRIDAD DEL SISTEMA DE ARCHIVOS ATAQUES AL SISTEMA PRINCIPIOS DE DISEÑO DE SISTEMAS SEGUROS IDENTIFICACIÓN DE USUARIOS MECANISMOS DE PROTECCIÓN Y CONTROL INTEGRIDAD

Más detalles

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha Sistemas Electrónicos igitales Práctica de 8 bits secuencial con desplazamiento hacia la derecha Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos Universidad Politécnica de

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

Por el rápido crecimiento de Internet la tecnología se ha tenido que adaptar para cubrir las

Por el rápido crecimiento de Internet la tecnología se ha tenido que adaptar para cubrir las Capítulo 1 Introducción Por el rápido crecimiento de Internet la tecnología se ha tenido que adaptar para cubrir las demandas de mayor ancho de banda. Para cubrir esta demanda los proveedores de Internet

Más detalles

CRM Gestión de Oportunidades Documento de Construcción Bizagi Process Modeler

CRM Gestión de Oportunidades Documento de Construcción Bizagi Process Modeler Bizagi Process Modeler Copyright 2011 - Bizagi Tabla de Contenido CRM- Gestión de Oportunidades de Venta... 4 Descripción... 4 Principales Factores en la Construcción del Proceso... 5 Modelo de Datos...

Más detalles

Informe de la Comisión Permanente de Informática para la Tercera Conferencia Nacional de Jueces

Informe de la Comisión Permanente de Informática para la Tercera Conferencia Nacional de Jueces Informe de la Comisión Permanente de Informática para la Tercera Conferencia Nacional de Jueces A partir de lo anunciado en la II Conferencia Nacional de Jueces, desarrollada en la Ciudad de Salta en el

Más detalles

GENERACIÓN DE CÓDIGO

GENERACIÓN DE CÓDIGO GENERACIÓN DE CÓDIGO INTRODUCCION La generación de código es la fase más compleja de un compilador, puesto que no sólo depende de las características del lenguaje fuente sino también de contar con información

Más detalles

Introducción a la simulación de circuitos

Introducción a la simulación de circuitos Introducción a la simulación de circuitos Microcontroladores Simulación Electrónica Escuela de Ingeniería Industrial Profesor: Dr. Camilo Quintáns Graña Vigo, 0 LA SIMULACIÓN EN LA INGENIERÍA. La simulación

Más detalles

GRADO EN INGENIERÍA INFORMÁTICA CURSO 2009/2010. Asignatura: ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I Código: IIN113

GRADO EN INGENIERÍA INFORMÁTICA CURSO 2009/2010. Asignatura: ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I Código: IIN113 GRADO EN INGENIERÍA INFORMÁTICA CURSO 2009/2010 Asignatura: ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I Código: IIN113 Asignatura: 1IN Estructura y Tecnología de Computadores I Formación: Básica Créditos

Más detalles

TITULO Editorial Autores ISBN AÑO

TITULO Editorial Autores ISBN AÑO Calidad en el Desarrollo de Software Bibliografía TITULO Calidad en el desarrollo y mantenimiento del software Editorial Alfaomega Autores Mario G. Piattini y Félix O. García ISBN 970-15-0899-8 AÑO 2003

Más detalles

RBAC4WFSYS: Modelo de Acceso para Sistemas Workflow basado en RBAC

RBAC4WFSYS: Modelo de Acceso para Sistemas Workflow basado en RBAC RBAC4WFSYS: Modelo de Acceso para Sistemas Workflow basado en RBAC Proyecto Integrador de Tecnologías Computacionales Autor: Roberto García :: A00888485 Director: Jorge A. Torres Jiménez Contenido Introducción

Más detalles