ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2011

Tamaño: px
Comenzar la demostración a partir de la página:

Download "ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2011"

Transcripción

1 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2011 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Randy Katz. Prentice Hall, 1994, 2005 material del curso ELO211 del Prof. Leopoldo Silva material en el sitio edition. Gaetano Borriello and 1: Intro 1

2 1-Introducción 1.1 Porque estudiar diseño digital? 1.2 Que vamos a aprender en esta clase? 1.3 Un poco de historia 1.4 Que es el diseño digital? 1.5 Que es el hardware digital? 1.6 Sistemas combinacionales 1.7 Maquinas de estados 1: Intro 2

3 Porque estudiar diseño digital? Es la base para la implementación de todos los sistemas computacionales modernos construcción de unidades mas complejas de componentes primitivos conocer los principios para organizar los bloques constructivos básicos de la computadores el paralelismo inherente en el hardware es nuestra primera introducción a la computación paralela proveer un modelo y un entendimiento de como funciona una computadora 1: Intro 3

4 Diseño digital: nuestro PC 1: Intro 4

5 1-Introducción 1.1 Porque estudiar diseño digital? 1.2 Que vamos a aprender en esta clase? 1.3 Un poco de historia 1.4 Que es el diseño digital? 1.5 Que es el hardware digital? 1.6 Sistemas combinacionales 1.7 Maquinas de estados 1: Intro 5

6 Que vamos a aprender en esta clase? Objetivos: El lenguaje de diseño y modelamiento digital Álgebra de Boole Funciones y representación de variables discretas Minimización lógica Conceptos de estados Análisis temporal Herramientas CAD Concepto de estados en sistemas digitales Análogo a variables y contadores en el software Como especificar, simular, compilar y analizar sistemas Lenguajes de descripción de HW Herramientas para simular la operación de nuestros diseños Compiladores lógicos para sintetizar los bloques de diseños Implementación en hardware programable Diferentes tecnologías de implementación de hardware Contrastar con diseño de SW Implementaciones de maquinas secuenciales y paralelas Especificar algoritmos, recursos de procesamiento y almacenamiento 1: Intro 6

7 Que vamos a aprender en esta clase? Visto por capas Arquitectura abstracta Síntesis y optimización Bloques básicos Compuertas y flip-flops 1: Intro 7

8 Que vamos a aprender en esta clase? Resumen: Se estudiaran... Los fundamentos matemáticos de las funciones de variables discretas boolenas, su estructura y diversos métodos de representación. Los principios y técnicas para modelar situaciones del mundo real, mediante funciones booleanas y registros. Se analizaran sistemas ya diseñados para posteriormente diseñar, implementar o sintetizar mediante diferentes tecnologías sistemas digitales combinacionales y secuenciales. 1: Intro 8

9 1-Introducción 1.1 Porque estudiar diseño digital? 1.2 Que vamos a aprender en esta clase? 1.3 Un poco de historia 1.4 Que es el diseño digital? 1.5 Que es el hardware digital? 1.6 Sistemas combinacionales 1.7 Maquinas de estados 1: Intro 9

10 Un poco de historia 1850: George Boole inventa el álgebra de Boole convierte proposiciones lógicas a símbolos permite la manipulación de la lógica proposicional 1937: Alan Turing diseña una modelo conceptual de una computadora llamada Turing Machine o Maquina de Turing. Esta manipula símbolos en una cinta de acuerdo a una tabla de reglas. A pesar de su simpleza puede implementar cualquier algoritmo computacional. 1938: Claude Shannon aplica el álgebra de Boole al desarrollo de circuitos conmutados (switched circuits) su tesis de Magíster. 1: Intro 10

11 Un poco de historia II 1945: John von Neumann desarrolla el primer programa de computadora en la arquitectura de programa almacenado (stored-program computer) sus elementos de conmutación son tubos de vacío 1946: ENIAC... La primera computadora electrónica 18,000 tubos de vacío varios miles de multiplicaciones por minuto 1947: Shockley, Brattain y Bardeen (Bell Labs) inventan el transistor reemplazo tubos de vacío permitió la mayor integración de múltiples dispositivos comienzo de la electrónica moderna 1: Intro 11

12 1-Introducción 1.1 Porque estudiar diseño digital? 1.2 Que vamos a aprender en esta clase? 1.3 Un poco de historia 1.4 Que es el diseño digital? 1.5 Que es el hardware digital? 1.6 Sistemas combinacionales 1.7 Maquinas de estados 1: Intro 12

13 Que es el diseño digital? Que es el diseño? Dada la especificación de un problema, lograr determinar una manera de resolverla, seleccionando apropiadamente desde un grupo de componentes disponibles Siempre considerando un conjunto de criterios (requerimientos) como tamaño, costo, poder, confiabilidad, elegancia, simplicidad etc. Que es el diseño digital? Determinación de las componentes de hardware digitales que implementan las especificaciones de comunicación, control y procesamiento de los datos. Más específicamente, es descomponer la solución en redes combinacionales y/o secuenciales organizando jerárquicamente el diseño empleando herramientas computacionales modernas Hay muchas diferentes tecnologías que se pueden usar para implementar un diseño (e.g., componentes, dispositivos programables, transistores en un chip, etc.) El diseño tiene que ser optimizado para los requerimientos previamente especificados 1: Intro

14 Algunas aplicaciones del diseño digital Computadores CPUs, bus, equipos periféricos de I/O (discos, pendrives, teclados, impresoras, mouse, pantallas, etc) Redes y comunicaciones centrales, estaciones base, teléfonos, módems, routers, switches, hubs, gateways, gatekeepers (VoIP) Sistemas embebidos electrodomésticos aparatos portátiles: celulares, ipods, organizadores juguetes y juegos de video aparatos a control remoto controladores industriales, robots Equipos científicos equipos de prueba, sensores, aparatos médicos Muchos otros 1: Intro 14

15 Algunas tendencias actuales en el diseño digital Tendencias de la industria para diseño de hardware diseños mas grandes e integrados tiempo al mercado mas corto productos mas baratos Escala uso común de herramientas computacionales sobre métodos manuales múltiples niveles de diseño y representación Tiempo énfasis en representaciones abstractas de diseño uso de componentes programables (e.g. FPGA) sobre otros de función fija técnicas automáticas de síntesis del diseño lógico (e.g. Verilog) importancia de buenas metodologías y procesos de diseño Costo uso de simulación para depurar (debug) simulación y verificación (testing) antes de construcción 1: Intro 15

16 Diseño digital: computación abstracta versus implementación Computación abstracta es un ejercicio mental o de software (programas) Esta clase trata sobre como se puede implementar sistemas de computación en hardware que usan voltajes para representar valores lógicos binarios (1 y 0) Elementos básicos de la computación representación binaria: 0, 1 asignación: x = y operaciones sobre datos: x + y 5 control: expresiones secuénciales 1; 2; 3;... expresiones condicionales: if x == 1 then y ciclos (loops): for (i = 1; i == 5; i++) x = x + A[i]; procedimientos (funciones) uso común de lenguajes y herramientas computacionales (e.g. HDL, Verilog, Xylinx) sobre métodos manuales múltiples niveles de diseño y representación 1: Intro 16

17 Abstracción digital Abstracción digital binaria Consiste en representar las señales análogas reales de entrada y salida usando valores discretos lógicos 0 y 1 Volts 4 3 lógica 1 V oh Margen de ruido zona de transición entre lógica 0 y 1 lógica 0 V ih V il V ol Margen de ruido 1: Intro 17

18 Abstracción digital Porque es útil la digitalización? Permite el desarrollo modular de systemas Ayuda a prevenir que un 0 sea confundido con un 1 y viceversa, valores específicos dependen de la tecnología usada (e.g. TTL) e.g. V IL debe ser un poco mayor que V OL Volts V ol V il V il V ol tiempo 1: Intro 18

19 Abstracción digital Bit (Binary digit): unidad de información Si se tienen 2 eventos igualmente probables y se desea conocer cuál se produce, debe aportarse 1 bit de información Interruptor abierto es 1 Interruptor cerrado es 0 Se puede codificar en un bit, el estado del interruptor Si hay 8 eventos posibles, para conocer la ocurrencia de uno de ellos deben tenerse cuantos bits de información? 1: Intro 19

20 Abstracción digital La información puede definirse como: conocimiento comunicado o recibido concerniente a un hecho particular, el cual reduce la incertidumbre del receptor Si tenemos un espacio de muestreo dividido en 2 N casos que son igualmente probables E k (k = 1, 2,..., 2 N ) entonces la información (en bits) proveída por el hecho E k es N bits 1: Intro 20

21 Abstracción digital Evento Se denomina evento al cambio de valor de una señal en un instante de tiempo. Que es un canto de bajada/subida? Pasar de nivel lógico 1 a 0 se denomina canto de bajada. Un canto de subida se produce cuando la señal pasa de nivel lógico 0 a : Intro 21

22 1-Introducción 1.1 Porque estudiar diseño digital? 1.2 Que vamos a aprender en esta clase? 1.3 Un poco de historia 1.4 Que es el diseño digital? 1.5 Que es el hardware digital? 1.6 Sistemas combinacionales 1.7 Maquinas de estados 1: Intro 22

23 Que es el hardware digital? Maquina abstracta: Una máquina abstracta es un modelo de computación que establece cómo se generan las acciones, o eventos de salida, a partir de los mensajes o eventos de entrada. inputs sistema outputs El modelo del sistema digital tiene inputs y outputs Sistemas combinacionales (sin memoria) sus outputs solo dependen de sus inputs Sistemas secuenciales (con memoria) sus outputs dependen no solo de sus inputs actuales sino que también de inputs previos (tienen memoria) 1: Intro 23

24 Que es el hardware digital? Colección de dispositivos que miden y controlan señales que usan voltajes digitales (e.g. una cantidad física que se interpreta como un 0 o un 1 ) e.g.: lógica digital binaria 0 cuando el voltaje en una señal es < 0.8 V 1 cuando el voltaje es > 2.0 V El tener Voh > Vih y Vol < Vil causa que la señales lógicas 1 y 0 se vayan regenerando 1: Intro 24

25 Que es el hardware digital? Interruptor (switch): elemento básico del hardware Implementar un circuito simple (flecha muestra si un cable cambia a 1 ) A Z cerrar switch (si A es 1 ) y prender la bombilla (Z) A Z abrir switch (si A es 0 ) y apagar la bombilla (Z) Z A 1: Intro 25

26 Que es el hardware digital? La composición de interruptores (e.g. transistores) en elementos mas complejos implementa las funciones de lógica Booleana. Como hago un AND y un OR de dos interruptores? AND A B Z A and B A OR Z A or B B 1: Intro 26

27 Que es el hardware digital? Los valores de los interruptores (switches) determinan si hay o no hay una ruta para encender la luz Para construir cómputos mas complejos usar la luz (output de un circuito) para activar otros circuitos interconectar redes de circuitos (e.g. ICs o circuitos integrados en wafers) típicamente se diseñan y modelan estos circuitos usando aplicaciones de software (e.g. MAGIC para VLSI) 1: Intro 27

28 Hardware digital: Relays Una manera simple de conectar rutas e interruptores es usar relays electro-mecánicos Que es un relay? al cerrase el circuito causa flujo de corriente corriente fluye en la bobina causa flujo magnético que causa que el contacto normalmente cerrado (nc) se abra cuando no hay corriente un resorte en el contacto lo retorna a su posición normal Que determina la velocidad de una red de relays? 1: Intro 28

29 Hardware digital: CMOS Los relays no se siguen utilizando mucho algunos controladores de luz siguen siendo electromecánicos Sistemas digitales modernos utilizan tecnología CMOS MOS: Metal Oxide Semiconductor C: Complementary porque usa interruptores normalmente abiertos (no) y normalmente cerrado (nc) en pares complementarios y simétricos tienen tres terminales: drain, gate y souce si el voltaje en el terminal gate es de cierto valor mayor/menor que source entonces se establece un conductor entre drain y source G G S n-channel D S p-channel D canal conduce si voltaje en G es mayor que el de S: voltage(g) > voltage (S) + ε canal conduce si voltaje en G es menor que el de S: voltage(g) < voltage (S) ε 1: Intro 29

30 Compuertas Lógicas CMOS 3v X cual es la relación entre x e y? x y 0v Y 0 volts 3 volts 3 volts 0 volts 1: Intro 30

31 Compuertas Lógicas CMOS X Y 3v 0v Z 1 cual es la relación entre x, y y z? x y z1 z2 X Y 0 volts 0 volts 3 volts 3 volts 3v 0 volts 3 volts 3 volts 0 volts 3 volts 3 volts 0 volts 0 volts Z 2 3 volts 3 volts 0 volts 0 volts 0v NAND NOR 1: Intro 31

32 Compuertas Lógicas CMOS Que influencia la velocidad de una red CMOS? carga y descarga de voltajes en cables y entradas de transistores el nodo de output (Z) tiene capacitancia interna que se carga o descarga dependiendo de los inputs (X o Y) resistencias retardan el movimiento de electrones resistencias principalmente de los transistores Cual es un gran beneficio de CMOS? Lógica CMOS utiliza menos potencia que NMOS dado que usa energía solo cuando cambiando de estado (conmutando o switching) Diagrama físico de NAND 1: Intro 32

33 Hardware digital vs análogo Es conveniente pensar en los sistemas digitales como teniendo solo valores discretos. En realidad los componentes electrónicos tienen un comportamiento continuo, análogo. Porque se hace la abstracción digital? interruptores operan de esta manera, es fácil modularizar diseños basado en compuertas digitales es mas fácil pensar en valores discretos los voltajes se pueden ir renovando entre los componentes manteniendo su valor lógico Porque funciona? los errores en voltajes no se propagan siempre se cambian a 0 o 1 1: Intro 33

34 Hardware digital: valores lógicos Tecnología Estado 0 Estado 1 Relay logic Circuito Abierto Circuito Cerrado CMOS logic volts volts Transistor transistor logic (TTL) volts volts Fibra Optica Luz apagada Luz prendida Dynamic RAM (DRAM) Condensador descargado Conden. cargado Nonvolatile memory (erasable) Electrones atrapados Electrones no atrapados ROM Programable (PROM) Contacto eliminado Contacto intacto Memoria Bubble Sin burbuja magnética Burbuja presente Disco Magnético Flujo no reverso Flujo reverso Compact disc Sin indentación (pit) Con indentación 1: Intro 34

35 1-Introducción 1.1 Porque estudiar diseño digital? 1.2 Que vamos a aprender en esta clase? 1.3 Un poco de historia 1.4 Que es el diseño digital? 1.5 Que es el hardware digital? 1.6 Sistemas combinacionales 1.7 Maquinas de estados 1: Intro 35

36 Sistemas Combinacionales Se denominan máquinas o sistemas combinacionales a aquellos cuyas salidas, en un instante de tiempo, dependen solamente de los valores que toman las entradas en ese instante de tiempo (no tienen memoria) Lo cual puede describirse por una función o tabla de verdad (truth table) que especifique los valores de las salidas para cada una de las combinaciones posibles de las entradas En estos sistemas las componentes no cambian sus propiedades a medida que transcurre el tiempo 1: Intro 36

37 Símbolos Lógicos Sistemas lógicos combinacionales usan compuertas estándar que se denominan compuertas lógicas Buffer, NOT A Z AND, NAND A B OR, NOR Z fácil de implementar con transistores CMOS (los interruptores mas disponibles) A B Z 1: Intro 37

38 Sistemas Combinacionales: ejemplo Subsistema de calendario: numero de días en un mes usado para controlar un reloj de tipo LCD input: mes, indicador de año bisiesto (leap year) output: numero de días (28, 29, 30 o 31) en ese mes 1: Intro 38

39 Sistemas Combinacionales: ejemplo Implementación en software integer number_of_days ( month, leap_year_flag) { } } switch (month) { case 1: return (31); case 2: if (leap_year_flag == 1) then return (29) else return (28); case 3: return (31);... case 12: return (31); default: return (0); 1: Intro 39

40 Sistemas Combinacionales: ejemplo Implementación digital Codificación: cuantos bits para cada input/output? numero binario para el mes cuatro alambres para Comportamiento: combinacional especificado en la tabla month leap d28 d29 d30 d31 month leap d28 d29 d30 d : Intro 40

41 Sistemas Combinacionales: ejemplo Análisis d28 = 1 cuando month=0010 y leap=0 d28 = m8' m4' m2 m1' leap d31 = 1 cuando month=0001 o month=0011 o... month=1100 d31 = (m8' m4' m2' m1) + (m8' m4' m2 m1) +... (m8 m4 m2' m1') símbolo de and símbolo de or d31 = podemos simplificarlo mas? month leap d28 d29 d30 d : Intro 41

42 Sistemas Combinacionales: ejemplo d28 = m8' m4' m2 m1' leap d29 = m8' m4' m2 m1' leap d30 = (m8' m4 m2' m1') + (m8' m4 m2 m1') + (m8 m4' m2' m1) + (m8 m4' m2 m1) = (m8' m4 m1') + (m8 m4' m1) d31 = (m8' m4' m2' m1) + (m8' m4' m2 m1) + (m8' m4 m2' m1) + (m8' m4 m2 m1) + (m8 m4' m2' m1') + (m8 m4' m2 m1') + (m8 m4 m2' m1') 1: Intro 42

43 1-Introducción 1.1 Porque estudiar diseño digital? 1.2 Que vamos a aprender en esta clase? 1.3 Un poco de historia 1.4 Que es el diseño digital? 1.5 Que es el hardware digital? 1.6 Sistemas combinacionales 1.7 Maquinas de estados 1: Intro 43

44 Máquinas de Estados o Secuenciales Se denominan máquinas de estados o secuenciales a aquellas cuyas salidas, en un instante de tiempo, dependen de los valores que toman las entradas y de su estado en ese instante de tiempo. Se comienza con un estado inicial. Se usa una función de transición que especifique los valores de las salidas y del próximo estado para cada una de las combinaciones posibles de las entradas y del estado presente. También se puede usar un diagrama de estados. Una matriz puede servir para indicar los diferentes valores de esta función. Las entradas se deben usar una vez que la maquina haya procesado las entradas previas y este estable. 1: Intro 44

45 Máquinas de Estados: ejemplo Candado de combinación: seleccionar 3 valores en secuencia y se abre el candado si hay un error se debe recomenzar la secuencia (reset) input: secuencia de valores, reset outputs: candado abierto/cerrado memoria: debe recordar combinación 1: Intro 45

46 Máquinas de Estados: ejemplo Implementación en software integer combination_lock ( ) { integer v1, v2, v3; integer error = 0; static integer c[3] = 3, 4, 2; // clave while (!new_value( )); v1 = read_value( ); if (v1!= c[1]) then error = 1; while (!new_value( )); v2 = read_value( ); if (v2!= c[2]) then error = 1; while (!new_value( )); v3 = read_value( ); if (v2!= c[3]) then error = 1; } if (error == 1) then return(0); else return (1); 1: Intro 46

47 Máquinas de Estados: ejemplo Codificación: cuantos bits por valor de input? cuantos valores en la secuencia? como sabemos que hay un valor nuevo de input? como representar los estados? Comportamiento: línea clock indica cuando mirar los inputs (sistema tiene que estar estable después de un cambio) secuencial: secuencias de valores deben ser introducidos secuencial: recordar si ocurre un error especificar los estados finitos clock new value state open/closed 1: Intro 47 reset

48 Máquinas de Estados: ejemplo Diagrama de estados: 5 estados representan situaciones en la ejecución de la maquina cada estado tiene outputs 6 transiciones entre estados, 5 auto transiciones, 1 global cambios en estados ocurren cuando reloj indica que se puede inputs: reset, new, resultado de comparaciones output: open /closed (abierto /cerrado) ERR closed reset closed S1 C1=value & new C1!=value & new closed S2 C2=value & new C2!=value & new S3 closed C3=value & new C3!=value & new open OPEN not new not new not new 1: Intro 48

49 Máquinas de Estados: ejemplo Ruta de datos versus control? ruta de datos almacenamiento para combinación comparador control controlador de estados finitos control para ruta de datos cambios en estados controlados por reloj (clock) new equal reset value C1 C2 C3 multiplexer comparator mux control controller clock equal open/closed 1: Intro 49

50 Máquinas de Estados: ejemplo Refinar maquina de estados finitos (MEF o FSM) incluir datos internos de la estructura del HW closed ERR reset closed mux=c1 equal & new not equal & new not equal & new not equal & new S1 S2 S3 OPEN closed mux=c2 equal & new closed mux=c3 equal & new open not new not new not new 1: Intro 50

51 Máquinas de Estados: ejemplo Maquina de estados finitos actualizada? generar tabla estados o transiciones closed ERR not equal & new not equal & new not equal & new S1 S2 S3 OPEN reset closed mux=c1 equal & new closed mux=c2 equal & new closed mux=c3 equal & new open not new next reset new equal state state mux open/closed 1 S1 C1 closed 0 0 S1 S1 C1 closed S1 ERR closed S1 S2 C2 closed 0 0 S2 S2 C2 closed S2 ERR closed S2 S3 C3 closed 0 0 S3 S3 C3 closed S3 ERR closed S3 OPEN open 0 OPEN OPEN open 0 ERR ERR closed not new not new 1: Intro 51

52 Máquinas de Estados: ejemplo Codificar tabla de estados estado puede ser: S1, S2, S3, OPEN o ERR 3 bits mínimo para codificar output mux puede ser: C1, C2, o C3 2 bits mínimo para codificar output open /closed puede ser: open o closed mínimo 1 bit para codificar Trabajo en grupo: elegir codificación de bits para los estados, generar tabla de estados (hay muchas opciones!) 1: Intro 52

53 Máquinas de Estados: ejemplo Codificar tabla de estados estado puede ser: S1, S2, S3, OPEN o ERR usar 4 bits output mux puede ser: C1, C2, o C3 usar 3 bits output open /closed puede ser: open o closed usar 1 bit para codificar next reset new equal state state mux open/closed buena elección! mux es idéntico a los últimos 3 bits del estado open / closed es idéntico al primer bit del estado 1: Intro 53

54 Jerarquía de diseño sistema digital ruta de datos ruta de control memorias / registros multiplexer comparator / ALU registros de estado red combinacional (lógica) registros lógica / compuertas redes de compuertas (transistores) 1: Intro 54

55 Resumen De que se trata este curso? Desarrollar soluciones a problemas usando circuitos combinacionales y secuenciales efectivamente organizando el diseño jerárquicamente Utilizar técnicas y herramientas modernas Tomar ventaja de oportunidades de optimización En el diseño vale la pena recordar el principio KISS (Keep It Simple and Stupid) Es muy importante usar una buena metodología de trabajo y saber trabajar en equipo Para muchos trabajos es muy importante el poder comunicarse con ingenieros en otros países (e.g. Ingles) Hay que poder comunicarse de manera efectiva, honesta y transparente (e.g. saber compartir la informacion!) 1: Intro 55

Porque estudiar diseño digital?

Porque estudiar diseño digital? Porque estudiar diseño digital? Es la base para la implementación de todos los sistemas computacionales modernos Construcción de unidades más complejas de componentes primitivos. Conocer los principios

Más detalles

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales EL-611 Complemento de Diseño Lógico y Objetivos y Evaluación Segundo Curso de Sistemas Digitales Complementar Materia Enfoque Diseños de Mayor Envergadura 1 Control + Examen y 6 Ejercicios (aprox.) Tareas

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 29 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo.

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo. 1 Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. El texto está orientado a un primer curso de diseño lógico en programas de estudios de ingenieros eléctricos, electrónicos, telemáticos y de ciencias

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R.

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Arquitecturas de Computadores 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Temario 1. Introducción 2. Sistemas Combinacionales (SC) 3. Implantación de SC mediante PLA 4. Sistemas Secuenciales

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

LÓGICA SECUENCIAL Y COMBINATORIA

LÓGICA SECUENCIAL Y COMBINATORIA LÓGICA SECUENCIAL Y COMBINATORIA SESIÓN # 3 1.9 Códigos alfanuméricos. Además de los datos numéricos, una computadora debe ser capaz de manejar información no numérica. En otras palabras, una computadora

Más detalles

Introducción a la lógica digital

Introducción a la lógica digital Organización del Computador I Verano Introducción a la lógica digital Basado en el Apéndice B del libro de Patterson y Hennessy Verano 24 Profesora Borensztejn Señales Digitales Los transistores operan

Más detalles

Sistemas Informáticos

Sistemas Informáticos Sistemas Informáticos Informática Conjunto de conocimientos científicos y técnicos que hacen posible el tratamiento automático de la información. Término de 1962 INFORmaciónautoMÁTICA Organizar, almacenar,

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás rredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: ontemporary Logic Design 1 st / 2 nd edition. Gaetano orriello and Randy Katz.

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal

ELO211: Sistemas Digitales. Tomás Arredondo Vidal ELO211: Sistemas Digitales Tomás Arredondo Vidal Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz. Prentice Hall,

Más detalles

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC PROGRAMACIÓN DE PLC Procedimiento para programar y cargar: Determinar los requisitos del sistema al cual se aplica el PLC. Identificar los

Más detalles

IES PALAS ATENEA. DEPARTAMENTO DE TECNOLOGÍA. 4º ESO ELECTRÓNICA DIGITAL

IES PALAS ATENEA. DEPARTAMENTO DE TECNOLOGÍA. 4º ESO ELECTRÓNICA DIGITAL ELECTRÓNICA DIGITAL 1.- La Información Cuando una señal eléctrica (Tensión o Intensidad), varía de forma continua a lo largo del tiempo, y puede tomar cualquier valor en un instante determinado, se la

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Unidad 2: Taller de Cómputo. Estructura y Componentes de la Computadora UNIDAD DOS: INTRODUCCIÓN

Unidad 2: Taller de Cómputo. Estructura y Componentes de la Computadora UNIDAD DOS: INTRODUCCIÓN UNIDAD DOS: INTRODUCCIÓN Una computadora es una máquina electrónica diseñada para manipular y procesar información de acuerdo a un conjunto de ordenes o programas. para que esto sea posible se requiere

Más detalles

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos INDICE Parte I. La computadora digital: organización, operaciones, periféricos, lenguajes y sistemas operativos 1 Capitulo 1. La computadora digital 1.1. Introducción 3 1.2. Aplicaciones de las computadoras

Más detalles

1.1. Modelos de arquitecturas de cómputo: clásicas, segmentadas, de multiprocesamiento.

1.1. Modelos de arquitecturas de cómputo: clásicas, segmentadas, de multiprocesamiento. 1.1. Modelos de arquitecturas de cómputo: clásicas, segmentadas, de multiprocesamiento. Arquitecturas Clásicas. Estas arquitecturas se desarrollaron en las primeras computadoras electromecánicas y de tubos

Más detalles

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR Cód. 25243 Laboratorio electrónico Nº 5 PUERTAS LOGICAS Objetivo Aplicar los conocimientos de puertas lógicas Familiarizarse con los circuitos integrados Objetivo específico Conectar los circuitos integrados

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

Universidad Autónoma de Baja California

Universidad Autónoma de Baja California Universidad Autónoma de Baja California Facultad de Ingeniería, Arquitectura y Diseño Práctica de laboratorio Programa educativo Plan de estudio Clave asignatura Nombre de la asignatura Bioingeniería 2009-2

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y Introducción Circuitos Bloques Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires

Más detalles

ANALÓGICO vs. DIGITAL

ANALÓGICO vs. DIGITAL ANALÓGICO vs. DIGITAL Una señal analógica se caracteriza por presentar un numero infinito de valores posibles. Continuo Posibles valores: 1.00, 1.01, 200003,, infinitas posibilidades Una señal digital

Más detalles

Introducción volts.

Introducción volts. Constantes y Variables Booleanas Tabla de Verdad. Funciones lógicas (AND, OR, NOT) Representación de las funciones lógicas con compuerta lógicas básicas (AND, OR, NOT) Formas Canónicas y Standard (mini

Más detalles

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad.

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVO GENERAL: PRACTICA No. 1: PRINCIPIOS BÁSICOS Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVOS

Más detalles

Tema 5: Álgebra de Boole Funciones LógicasL

Tema 5: Álgebra de Boole Funciones LógicasL Tema 5: Álgebra de Boole Funciones LógicasL Ingeniería Informática Universidad Autónoma de Madrid 1 Álgebra de Boole.. Funciones LógicasL O B J E T I V O S Conocer el Álgebra de Boole, sus teoremas y las

Más detalles

INDICE Prefacio 1 Sistemas numéricos y códigos 2 Circuitos digitales

INDICE Prefacio 1 Sistemas numéricos y códigos 2 Circuitos digitales INDICE Prefacio xix 1 Sistemas numéricos y códigos 1.1 Sistemas numéricos posicionales 2 1.2 Número octales y hexadecimales 3 1.3 Conversiones entre sistemas numéricos posicionales 5 1.4 Suma y resta de

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2009 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

ÍNDICE TEMÁTICO. 4 Características de las familias lógicas Circuitos lógicos combinacionales

ÍNDICE TEMÁTICO. 4 Características de las familias lógicas Circuitos lógicos combinacionales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉICO FACULTAD DE ESTUDIOS SUPERIORES CUAUTITLÁN LICENCIATURA: INGENIERÍA EN TELECOMUNICACIONES, SISTEMAS Y ELECTRÓNICA DENOMINACIÓN DE LA ASIGNATURA: Sistemas Digitales

Más detalles

Definición y representación de los

Definición y representación de los Definición y representación de los circuitos lógicos. LÁMARA R + - + - OBJETIVO GENERAL BATERÍA Utilizar el álgebra booleana para analizar y describir el funcionamiento de las combinaciones de las compuertas

Más detalles

Sistemas Combinacionales y Sistemas Secuenciales

Sistemas Combinacionales y Sistemas Secuenciales y Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 y 1 2 3 y Contenido Al hablar de sistemas, nos referimos

Más detalles

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos INDICE Prólogo XI 1. Operación del Computador 1 1.1. Calculadoras y Computadores 2 1.2. Computadores digitales electrónicos 5 1.3. Aplicación de los computadores a la solución de problemas 7 1.4. Aplicaciones

Más detalles

SESIÓN II ELECTRÓNICA.

SESIÓN II ELECTRÓNICA. ELECTRÓNICA. SESIÓN II Es la rama de la física y especialización de la ingeniería aplicada al diseño de dispositivos, por lo general circuitos electrónicos, cuyo funcionamiento depende del flujo de electrones

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Dr. Marcelo Risk Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires 2017 Lógica

Más detalles

Octubre de Circuitos Logicos MARIA ALEJANDRA GUIO SAENZ ALEJANDRO SALAZAR ALEJANDRO BELTRAN CAMILO RIVERA SYGMA

Octubre de Circuitos Logicos MARIA ALEJANDRA GUIO SAENZ ALEJANDRO SALAZAR ALEJANDRO BELTRAN CAMILO RIVERA SYGMA Octubre de 2016 Circuitos Logicos MARIA ALEJANDRA GUIO SAENZ ALEJANDRO SALAZAR ALEJANDRO BELTRAN CAMILO RIVERA SYGMA CIRCUITOS LOGICOS 1) FUNCIONES DEL ÁLGEBRA BOOLEANA BINARIA Sea B = {0, 1} sea B n =

Más detalles

Sistemas Digitales I. Docentes. Mg. Ing. Cristian Sisterna. Dr. Martin Guzzo. Ing. Gabriel Cañadas

Sistemas Digitales I. Docentes. Mg. Ing. Cristian Sisterna. Dr. Martin Guzzo. Ing. Gabriel Cañadas Docentes Mg. Ing. Cristian Sisterna Dr. Martin Guzzo Ing. Gabriel Cañadas 2016 Horarios de consulta MSc. Cristian Sisterna Martes y Jueves de 10 a 12 hs Ing. Gabriel Cañadas Lunes y Miercoles 10 a 12 hs

Más detalles

Asignaturas antecedentes y subsecuentes

Asignaturas antecedentes y subsecuentes PROGRAMA DE ESTUDIOS DISEÑO DE SISTEMAS DIGITALES I Área a la que pertenece: Área de Formación Transversal Horas teóricas: 3 Horas prácticas: 2 Créditos: 8 Clave: F0142 Asignaturas antecedentes y subsecuentes

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

Electrónica Digital: Sistemas Numéricos y Algebra de Boole

Electrónica Digital: Sistemas Numéricos y Algebra de Boole Electrónica Digital: Sistemas Numéricos y Algebra de Boole Profesor: Ing. Andrés Felipe Suárez Sánchez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: andres.suarez@correounivalle.edu.co

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Las compuertas lógicas son bloques que realizan las operaciones básicas de la aritmética binaria del álgebra

Más detalles

Laboratorio de Diseño Lógico 1 Semestre Ing. Luis C. Rosales A

Laboratorio de Diseño Lógico 1 Semestre Ing. Luis C. Rosales A Laboratorio de Diseño Lógico 1 Semestre 2009 Ing. Luis C. Rosales A. luis.carlos.rosales@gmail.com 2509-4569 Presentación del curso: Este es un curso de diseño, donde se trabajará en la implementación

Más detalles

LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales

LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales 1 LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales Objetivos Diseñar un circuito digital combinacional que permita realizar la suma de dos números binarios de 3 bits cada uno. Utilizar LEDs

Más detalles

AUTOMATIZACIÓN INDUSTRIAL

AUTOMATIZACIÓN INDUSTRIAL Departamento de Ingenieria de Sistemas y Automática AUTOMATIZACIÓN INDUSTRIAL 1 AUTOMATIZACION INDUSTRIAL 2 AUTOMATIZACION INDUSTRIAL 3 AUTOMATAS PROGRAMABLES Surgen de la necesidad de controlar automáticamente

Más detalles

Principios básicos de PLC y familia DirectLogic

Principios básicos de PLC y familia DirectLogic Principios básicos de PLC y familia DirectLogic Introducción El Controlador Lógico Programable (PLC) es una tecnología muy difundida para hacer automatización de procesos secuenciales, surgió como solución

Más detalles

Arquitectura de Computadores II Clase #4

Arquitectura de Computadores II Clase #4 Clase #4 Facultad de Ingeniería Universidad de la República Instituto de Computación Curso 2010 Contenido Unidad de control Control cableado Control microprogramado MIC-1 La Unidad de Control La instrucción

Más detalles

DISEÑO F.S.M DIGITAL2 YESID SANTAFE

DISEÑO F.S.M DIGITAL2 YESID SANTAFE DISEÑO F.S.M DIGITAL2 YESID SANTAFE los circuitos secuenciales recuerdan lo sucedido en instantes de tiempo anteriores y son capaces de alterar su comportamiento futuro en base a esta información De forma

Más detalles

Conceptos preliminares Familias lógicas Topologías Compuertas Flip Flops Osciladores. Introducción a la Electrónica

Conceptos preliminares Familias lógicas Topologías Compuertas Flip Flops Osciladores. Introducción a la Electrónica CIRCUITOS DIGITALES Conceptos preliminares Familias lógicas Topologías Compuertas Flip Flops Osciladores Memorias Conceptos preliminares Máximo nivel de tensión de entrada para un nivel lógico bajo V IL

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37 ÍNDICE LISTA DE FIGURAS... 7 LISTA DE TABLAS... 11 CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN... 13 1.1. REPRESENTACIÓN DE LA INFORMACIÓN... 15 1.2. SISTEMAS DE NUMERACIÓN BINARIO NATURAL Y HEXADECIMAL... 18 1.3.

Más detalles

5.1. Introducción a los sistemas digitales

5.1. Introducción a los sistemas digitales 5.. Introducción a los sistemas digitales 5... Sistemas digitales [ Wakerly.2 pág. 3] 5..2. Puertas y señales lógicas [ Wakerly.3 pág. 6] 5..3. Representación de la lógica digital [ Wakerly. pág. 9] 5..4.

Más detalles

1. La importancia de la Informática

1. La importancia de la Informática Introducción Contenidos 1. La importancia de la informática 2. Conceptos básicos y definiciones 3. Unidades de medida de la información 4. Componentes físicos y lógicos del ordenador 5. Evolución de los

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

CIRCUITOS DIGITALES UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ING. CRISTIAN FLORES ALUMNO: TITO GUASCO FECHA:

CIRCUITOS DIGITALES UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ING. CRISTIAN FLORES ALUMNO: TITO GUASCO FECHA: CIRCUITOS DIGITALES 2011 UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ALUMNO: FECHA: ING. CRISTIAN FLORES TITO GUASCO 11-10-2011 2 CIRCUITOS DIGITALES TEMA: COMPUERTAS LOGICAS Las computadoras

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación

Más detalles

5.3. Álgebras de Boole y de conmutación. Funciones lógicas

5.3. Álgebras de Boole y de conmutación. Funciones lógicas 5.3. Álgebras de Boole y de conmutación. Funciones lógicas 5.3.1. Algebra de conmutación o algebra booleana 5.3.1.1. Axiomas [ Wakerly 4.1.1 pág. 195] 5.3.1.2. Teoremas de una sola variable [ Wakerly 4.1.2

Más detalles

El número decimal 57, en formato binario es igual a:

El número decimal 57, en formato binario es igual a: CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. NÚMEROS BINARIOS EJEMPLO En el cuadro anterior, está la representación de los números binarios en formato

Más detalles

Unidad I: Organización del Computador. Ing. Marglorie Colina

Unidad I: Organización del Computador. Ing. Marglorie Colina Unidad I: Organización del Computador Ing. Marglorie Colina Arquitectura del Computador Atributos de un sistema que son visibles a un programador (Conjunto de Instrucciones, Cantidad de bits para representar

Más detalles

PROGRAMACIÓN. UNIDAD II. ALGORITMO PROFA : HAU MOY

PROGRAMACIÓN. UNIDAD II. ALGORITMO PROFA : HAU MOY PROGRAMACIÓN. UNIDAD II. ALGORITMO PROFA : HAU MOY ALGORITMO DEFINICIÓN: CONSISTE EN LA DESCRIPCIÓN CLARA Y DETALLADA DEL PROCEDIMIENTO A SEGUIR PARA ALCANZAR LA SOLUCIÓN A UN PROBLEMA EN DONDE SE ESTABLECE

Más detalles

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras 1121025 Arquitecaura de Computadoras - Introducción a la Arquitectura de Computadoras Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2007

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2007 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2007 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

ING. WILDER ENRIQUE ROMÁN MUNIVE

ING. WILDER ENRIQUE ROMÁN MUNIVE TEMA CURSO: CÓDIGO: ALUMNO: CIRCUITOS LOGICOS DIBUJO ELECTRÓNICO I 1J3025 LÉVANO PINTO CHRISTIAN ENRIQUE CÓDIGO U: 20112281 AÑO: CICLO: SECCIÓN: GRUPO: DOCENTE: PRIMERO SEGUNDO DOS A ING. WILDER ENRIQUE

Más detalles

PRE: Electrónica y Laboratorio PRE: Matemática Discreta COR: Espacio de Laboratorio de Lógica Digital

PRE: Electrónica y Laboratorio PRE: Matemática Discreta COR: Espacio de Laboratorio de Lógica Digital Código-Materia: 11121 LÓGICA DIGITAL Y LABORATORIO Requisito: PRE: 11264 Electrónica y Laboratorio PRE: 08276 Matemática Discreta COR: 11317 Espacio de Laboratorio de Lógica Digital Programa Semestre:

Más detalles

Partes de una computadora. Conceptos Generales. Elementos de Computación (CU) Computación (TIG) El Hardware de una computadora

Partes de una computadora. Conceptos Generales. Elementos de Computación (CU) Computación (TIG) El Hardware de una computadora Elementos de Computación (CU) Computación (TIG) Unidad 3 Organización Básica de la Computadora Conceptos Generales Sistema Digital: Es un sistema en el cual la información se representa por medio de variables

Más detalles

Práctica 1 Introducción al Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Introducción al Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Introducción al Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica

Más detalles

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1, Segundo Semestre

Más detalles

CICLO ESCOLAR JULIO DICIEMBRE

CICLO ESCOLAR JULIO DICIEMBRE CATEDRÁTICO Lic. Rafael Gamas Gutiérrez CICLO ESCOLAR JULIO DICIEMBRE 2013-1 MATERIA Arquitectura de hardware HORARIO (Día(s) y Hora) Sábado 13:30 15:30 TEMA OBJETIVO(s) DE ACTIVIDADES DE ENSEÑANZA - 1.

Más detalles

=V dd, el transistor esta encendido y permite la

=V dd, el transistor esta encendido y permite la Tecnología CMOS Compuertas lógicas Introducción: El transistor MOS como llave. Se puede pensar que el transistor MOS tiene dos estados de funcionamiento. Autor: Sebastián Horacio Carbonetto Revisión: Ariel

Más detalles

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014.

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014. Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014 Práctica #3 1) Qué es un latch? Qué es un flip-flop? 2) Si se aplican

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES LABORATORIO No. 4 Fundamentos de electrónica Compuertas Lógicas I. OBJETIVOS. Conocer el

Más detalles

Duración (en horas) Evaluación de los aprendizajes

Duración (en horas) Evaluación de los aprendizajes NÚCLEO: Sector Comercio y Servicios SUBSECTOR: Informática y comunicación Nombre del Módulo: ARQUITECTURA DE COMPUTADORES total: 60 horas Objetivo General: Explicar el funcionamiento interno de un equipo

Más detalles

INDICE. XVII 0 Introducción 0.1. Historia de la computación

INDICE. XVII 0 Introducción 0.1. Historia de la computación INDICE Prefacio XVII 0 Introducción 0.1. Historia de la computación 1 0.1.1. Los inicios: computadoras mecánicas 0.1.2. Primeras computadoras electrónicas 0.1.3. Las primeras cuatro generaciones de computadoras

Más detalles

Tema 0. Introducción a los computadores

Tema 0. Introducción a los computadores Tema 0 Introducción a los computadores 1 Definición de computador Introducción Máquina capaz de realizar de forma automática y en una secuencia programada cierto número de operaciones sobre unos datos

Más detalles

Clase Nº 2. Ing. Manuel Rivas DEPARTAMENTO DE ELECTRÓNICA. Trimestre Enero - Marzo 2006

Clase Nº 2. Ing. Manuel Rivas DEPARTAMENTO DE ELECTRÓNICA. Trimestre Enero - Marzo 2006 EC2175 Ingeniería Electrónica 2 Clase Nº 2 Ing. Manuel Rivas DEPARTAMENTO DE ELECTRÓNICA Trimestre Enero - Marzo 2006 Objetivos de aprendizaje Conocer las operaciones lógicas básicas: AND, OR y NOT Estudiar

Más detalles

PRE: Electrónica y Laboratorio PRE: Matemática Discreta COR: Espacio de Laboratorio de Lógica Digital

PRE: Electrónica y Laboratorio PRE: Matemática Discreta COR: Espacio de Laboratorio de Lógica Digital Código-Materia: 11121 LÓGICA DIGITAL Y LABORATORIO Requisito: PRE: 11264 Electrónica y Laboratorio PRE: 08276 Matemática Discreta COR: 11317 Espacio de Laboratorio de Lógica Digital Programa Semestre:

Más detalles

concepto y fases de la SAN

concepto y fases de la SAN concepto y fases de la SAN Síntesis Arquitectónica y de Alto Nivel José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de Madrid diseño de circuitos: la

Más detalles

153 = 1x x10 + 1x1

153 = 1x x10 + 1x1 ELECTRÓNICA DIGITAL Introducción Hemos visto hasta ahora algunos componentes muy utilizados en los circuitos de electrónica analógica. Esta tecnología se caracteriza porque las señales físicas (temperatura,

Más detalles

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias Organización n del Computador Lógica Digital 2 Circuitos y memorias Circuitos Secuenciales Circuitos combinatorios Funciones ooleanas El resultado depende sólo s de las entradas También n necesitamos circuitos

Más detalles

Organización de Computadoras

Organización de Computadoras Organización de Computadoras SEMANA 1 UNIVERSIDAD NACIONAL DE QUILMES Qué vimos? Sistema Binario Interpretación Representación Aritmética Sistema Hexadecimal Hoy! Lógica proposicional Compuertas lógicas:

Más detalles

Práctica 1 Introducción al Transistor BJT Diseño Región de Corte Saturación

Práctica 1 Introducción al Transistor BJT Diseño Región de Corte Saturación Práctica 1 Introducción al Transistor BJT Diseño Región de Corte Saturación Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1,

Más detalles

10 más ! Análisis de sistemas digitales básicos

10 más ! Análisis de sistemas digitales básicos IF - EHU Prácticas de laboratorio en la universidad, 2009 2 10 más 10... 100! nálisis de sistemas digitales básicos INTRODUCCIÓN Uno de los componentes principales de un procesador es la unidad aritmético/lógica;

Más detalles

Introducción a la Electrónica Digital. Electrónica Digital Grado en Ing. de Telecomunicación Universidad de Sevilla

Introducción a la Electrónica Digital. Electrónica Digital Grado en Ing. de Telecomunicación Universidad de Sevilla Introducción a la Grado en Ing. de Telecomunicación Universidad de Sevilla Indice 1. Introducción a la electrónica 2. Conceptos básicos 3. Características de los circuitos digitales 2 Introducción Cada

Más detalles

Sistemas Electrónicos Especialidad del Grado de Ingeniería de Tecnologías de Telecomunicación

Sistemas Electrónicos Especialidad del Grado de Ingeniería de Tecnologías de Telecomunicación Especialidad del Grado de Ingeniería de Tecnologías de Telecomunicación Charlas Informativas sobre las Especialidades de los Grados E.T.S.I.I.T. Jesús Banqueri Ozáez Departamento de Electrónica y Tecnología

Más detalles

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI NOMBRE DE LA ASIGNATURA: ARQUITECTURA DE COMPUTADORAS FECHA DE ELABORACIÓN: ENERO 2005 ÁREA DEL PLAN DE ESTUDIOS: AS (

Más detalles

Álgebra de Boole. Valparaíso, 1 er Semestre Prof. Rodrigo Araya E.

Álgebra de Boole. Valparaíso, 1 er Semestre Prof. Rodrigo Araya E. Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 1 2 3 4 Contenido En 1815 George Boole propuso una herramienta

Más detalles

Implementación de una unidad procesadora de cuatro bits, como material didáctico

Implementación de una unidad procesadora de cuatro bits, como material didáctico Implementación de una unidad procesadora de cuatro bits, como material didáctico Ángel Eduardo Gasca Herrera Universidad Veracruzana Agasca3@hotmail.com Jacinto Enrique Pretelin Canela Universidad Veracruzana

Más detalles

Carrera: ECC Participantes Representante de las academias de ingeniería electrónica de los Institutos Tecnológicos.

Carrera: ECC Participantes Representante de las academias de ingeniería electrónica de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Electrónica Digital I Ingeniería Electrónica ECC-0416 4-2-10 2.- HISTORIA DEL PROGRAMA

Más detalles

CAPITULO I INTRODUCCIÓN. Diseño Digital

CAPITULO I INTRODUCCIÓN. Diseño Digital CAPITULO I INTRODUCCIÓN Diseño Digital QUE ES DISEÑO DIGITAL? UN SISTEMA DIGITAL ES UN CONJUNTO DE DISPOSITIVOS DESTINADOS A LA GENERACIÓN, TRANSMISIÓN, PROCESAMIENTO O ALMACENAMIENTO DE SEÑALES DIGITALES.

Más detalles

Capítulo 1 Introducción Mecatrónica Sistemas de medición Ejemplos de diseño... 5

Capítulo 1 Introducción Mecatrónica Sistemas de medición Ejemplos de diseño... 5 ÍNDICE Listas... ix Figuras... ix Tablas... xv Temas para discusión en clase... xvi Ejemplos... xviii Ejemplos de diseño... xix Ejemplos de diseño encadenado... xx Prefacio... xxi Capítulo 1 Introducción...

Más detalles

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik Objetivos Objetivos Arquitecturas von Neumann Otras Unidad Central de Procesamiento (CPU) Responsabilidades Requisitos Partes de una CPU ALU Control & Decode Registros Electrónica y buses 2 Índice Capítulo

Más detalles

Circuitos Digitales Avanzados

Circuitos Digitales Avanzados Circuitos Digitales Avanzados M.C. Jorge E. Ibarra Esquer jorgeeie@uabc.mx Contenido Circuitos secuenciales con dispositivos MSI Redes iterativas Circuitos para operaciones aritméticas Dispositivos programables

Más detalles

Programa del curso. Diseño de Circuitos Digitales. CI-1210.

Programa del curso. Diseño de Circuitos Digitales. CI-1210. Programa del curso. Diseño de Circuitos Digitales. CI-1210. Profesor: M. Sc. Sanders Pacheco Araya. Teléfono: 2511-5156 Oficina. 224 E-mail: spacheco@ice.co.cr Horario: L J 09:00 a 10:40 horas Aula: 305

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

Introducción a los sistemas digitales

Introducción a los sistemas digitales Introducción a los sistemas digitales Prof. Mario Medina mariomedina@udec.cl Introducción a los sistemas digitales Descripción del curso Sistemas análogos y sistemas digitales Representación análogo-digital

Más detalles

Circuitos Secuenciales

Circuitos Secuenciales EL - 337 Página Agenda EL - 337 Página 2 Introducción El biestable de flip flops de flip flops tipo D de flip flops tipo T de flip flops tipo S-R de flip flops tipo J-K de circuitos Conclusiones Introducción

Más detalles

Jorge Aliaga Verano Si No- Si Si- No

Jorge Aliaga Verano Si No- Si Si- No Si No- Si Si- No Parece raro que alguien se pudiera comunicar con solo dos palabras. Es lo que hacemos con todos los dispositivos digitales que usan el código binario ( 0 y 1 ) o dos estados lógicos (falso

Más detalles