INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 9

Tamaño: px
Comenzar la demostración a partir de la página:

Download "INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 9"

Transcripción

1 INGENIERÍA DE COMPUTADORES III Solución l Ejercicio e Autocomproción 9 PREGUNTA 1 (3 punto) 1.) (0.5 punto) Dieñe en VHDL un multiplexor e o eñle e un it. Háglo ecriieno el comportmiento el circuito, empleno un entenci concurrente conicionl (when-ele). L entity e muetr continución. L eñl e l eñl e control. entity mux2_1it i port ( : out t_logic;, : in t_logic; en entity mux2_1it; 1.) (0.5 punto) Dieñe en VHDL un multiplexor e o eñle e un it meinte l ecripción e u comportmiento, pero en et oción empleno un entenci concurrente e elección (with-elect). 1.c) (2 punto) Dieñe en VHDL un multiplexor e o eñle e cutro it. Háglo ecriieno l etructur el circuito. E ecir, meinte l conexión e cutro multiplexore e o eñle e un it. L entity el multiplexor e o eñle e cutro it e: entity mux2_4it i port ( 0, 1, 2, 3 : out t_logic; 0, 1, 2, 3 : in t_logic; 0, 1, 2, 3 : in t_logic; en entity mux2_4it;

2 INGENIERÍA DE LOS COMPUTADORES III Solución l Pregunt 1 L olución lo tre prto e l pregunt e el Cóigo VHDL El igrm el multiplexor e 2 eñle e 4 it, ieño meinte l conexión e 4 multiplexore e 2 eñle e 1 it, e muetr en l Figur MUX 2 1 entity mux2_1it i port ( : out t_logic;, : in t_logic; en entity mux2_1it; rchitecture mux_con of mux2_1it i <= when ( = 0 ) ele ; en rchitecture mux_con; Cóigo VHDL 1.1: Solución l Aprto 1.: MUX e 2 eñle e 1 it, ecrito meinte un entenci concurrente conicionl (when-ele). -- MUX 2 1 entity mux2_1it i port ( : out t_logic;, : in t_logic; en entity mux2_1it; rchitecture mux_el of mux2_1it i with elect <= when 0, when other; en rchitecture mux_el; Cóigo VHDL 1.2: Solución l Aprto 1.: MUX e 2 eñle e 1 it, ecrito meinte un entenci concurrente e elección (with-elect). 2 Dpto. e Informátic y Automátic, UNED

3 SOLUCIÓN AL EJERCICIO DE AUTOCOMPROBACIÓN Figur 1.1: Multiplexor e 2 eñle e 4 it, ieño meinte l conexión e 4 multiplexore e 2 eñle e 1 it e 4 it. entity Mux2_4it i port ( 0, 1, 2, 3 : out t_logic; 0, 1, 2, 3 : in t_logic; 0, 1, 2, 3 : in t_logic; en entity Mux2_4it; rchitecture Mux2_4it of Mux2_4it i component Mux2_1it i port ( : out t_logic;, : in t_logic; en component Mux2_1it; Mux2_0 : Mux2_1it port mp ( => 0, => 0, => 0, => ); Mux2_1 : Mux2_1it port mp ( => 1, => 1, => 1, => ); Mux2_2 : Mux2_1it port mp ( => 2, => 2, => 2, => ); Mux2_3 : Mux2_1it port mp ( => 3, => 3, => 3, => ); en rchitecture Mux2_4it; Cóigo VHDL 1.3: Solución l Aprto 1.c: ecripción etructurl e un multiplexor e 2 eñle e 4 it. Dpto. e Informátic y Automátic, UNED 3

4 INGENIERÍA DE LOS COMPUTADORES III PREGUNTA 2 (3 punto) Decri en VHDL el comportmiento e un circuito con o entr e 8 it que, interpretno l entr como número inrio in igno, clcule el vlor oluto e l iferenci entre l entr. E ecir, i l entr on,, el circuito clcul. L entity el circuito e: entity _if i port ( reulto : out t_logic_vector(7 ownto 0);, : in t_logic_vector(7 ownto 0) ); en entity _if; Solución l Pregunt 2 Exiten vri form e ieñr el circuito. Un e ell e Cóigo VHDL Vlor oluto e l iferenci ue IEEE.numeric_t.ll; entity _if i port ( reulto : out t_logic_vector(7 ownto 0);, : in t_logic_vector(7 ownto 0) ); en entity _if; rchitecture _if1 of _if i ignl u, u, ru, if, if: unigne(7 ownto 0); u <= unigne(); u <= unigne(); if <= u - u; if <= u - u; ru <= if when (u >= u) ele if; reulto <= t_logic_vector(ru); en rchitecture _if1; Cóigo VHDL 1.4: Dieño olución l Pregunt 2. 4 Dpto. e Informátic y Automátic, UNED

5 SOLUCIÓN AL EJERCICIO DE AUTOCOMPROBACIÓN 9 PREGUNTA 3 (3 punto) Dieñe un generor e eñle que oteng l form e on motr en l prte inferior e l figur, prtir e l eñl e reloj clk motr en l prte uperior e l figur. Decri el comportmiento el circuito como un máquin e eto finito. 1 perioo L entity el circuito e: entity generor i port( wve : out t_logic; clk : in t_logic ); en entity generor; Dpto. e Informátic y Automátic, UNED 5

6 INGENIERÍA DE LOS COMPUTADORES III Solución l Pregunt Pquete con l efinición e l contnte glole pckge STATE_CONST i contnt STATE_BITS: integer := 3; -- Bit coificn eto contnt S0: t_logic_vector(2 ownto 0) := "000"; -- Eto contnt S1: t_logic_vector(2 ownto 0) := "001"; contnt S2: t_logic_vector(2 ownto 0) := "010"; contnt S3: t_logic_vector(2 ownto 0) := "011"; contnt S4: t_logic_vector(2 ownto 0) := "100"; contnt S5: t_logic_vector(2 ownto 0) := "101"; contnt S6: t_logic_vector(2 ownto 0) := "110"; contnt S7: t_logic_vector(2 ownto 0) := "111"; en pckge; --- Cóigo VHDL 1.5: Pquete con l efinición e contnte. Dieño olución l Pregunt Generor e form e on, implemento como máquin e eto ue work.state_const.ll; entity generor i port(wve : out t_logic; clk : in t_logic); en entity generor; rchitecture fm of generor i ignl tte : t_logic_vector(state_bits-1 ownto 0); ignl temp_wve : t_logic; --Cálculo el próximo eto proximo_eto: proce (clk) if (riing_ege(clk)) then ce tte i when S0 => tte <= S1; wve <= 0 ; when S1 => tte <= S2; wve <= 1 ; when S2 => tte <= S3; wve <= 0 ; when S3 => tte <= S4; wve <= 1 ; when S4 => tte <= S5; wve <= 1 ; when S5 => tte <= S6; wve <= 1 ; when S6 => tte <= S7; wve <= 0 ; when other => tte <= S0; wve <= 0 ; en ce; en if; en proce proximo_eto; en rchitecture fm; Cóigo VHDL 1.6: Solución l Pregunt 3: ieño el generor e l form e on. 6 Dpto. e Informátic y Automátic, UNED

7 SOLUCIÓN AL EJERCICIO DE AUTOCOMPROBACIÓN 9 PREGUNTA 4 (1 punto) Progrme en VHDL un nco e prue pr el circuito que h ieño l reponer l pregunt 3, e mner que pue comprore meinte inpección viul que el circuito gener l form e on correctmente. Solución l Pregunt Bnco e prue el generor e l form e on entity p_generor i en entity p_generor; rchitecture p_generor of p_generor i contnt PERIODO : time := 100 n; -- Reloj ignl wve : t_logic; -- Sli UUT ignl clk : t_logic := 0 ; -- Entr UUT component generor i port ( wve : out t_logic; clk : in t_logic); en component generor; -- Intncir y conectr UUT uut : component generor port mp (wve, clk); clk <= not clk fter (PERIODO/2); en rchitecture p_generor; Cóigo VHDL 1.7: Solución l Pregunt 4: nco e prue. Dpto. e Informátic y Automátic, UNED 7

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 5 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1 y z2

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2012

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2012 Solución al examen de Septiembre 212 PREGUNTA 1 (2 puntos) 1.a) (1 punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento 1. 1.b) (1 punto) Dibuje el diagrama conceptual

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017 Solución al Trabajo Práctico - Junio de 2017 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016 Solución al Trabajo Práctico - Junio de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana Solución al examen de Junio 2014, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana Solución al examen de Junio 2012, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,x4,x5 entre los instantes 0 y

Más detalles

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 1: Introducción al lenguaje VHDL S1 1 AREAS DE APLICACION DE VHDL Síntesis i1 suma Documentación Diagnosis de Fallos i1 i2 - Circuito semisumador Componentes: puerta AND puerta OR puerta XOR......

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Primera Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Primera Semana Solución al examen de Junio 2012, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,s,yentre los instantes 0 y 50

Más detalles

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL EJERCICIOS DE SISTEMAS ELECTRÓNICOS DIGITALES: HOJA 2 2 o CURSO DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL LENGUAJES DE ALTO NIVEL 1) Realiza en RTL un comparador de dos buses

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014 Solución al Trabajo Práctico - Junio de 2014 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es contabilizar el número de señales de entrada que tienen valor

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Septiembre 2012

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Septiembre 2012 Trabajo Práctico - Septiembre 22 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones

Más detalles

TEORÍA DE CONTROL PRIMER PARCIAL 13 DE ABRIL 2018

TEORÍA DE CONTROL PRIMER PARCIAL 13 DE ABRIL 2018 TEOÍ DE ONTOL PE PL DE BL 8 TEOÍ: Ejercicio Ejercicio Ejercicio punto punto punto El objetivo de lo tem de teorí e exponer u conocimiento teórico obre modeldo de item. Pr ello, derrolle con clridd y conciión

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2012

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2012 Solución al Trabajo Práctico - Junio de 2012 EJERCICIO 1 A continuación se muestran dos funciones lógicas F y G, que dependen de las cuatro variablesa,b,cydde la forma mostrada a continuación: F = A B

Más detalles

PRUEBA DE ENTRADA. 1. Complete el diagrama de tiempos del circuito mostrado (dibuje la salida Q ): (2 ptos.) clock. clrn

PRUEBA DE ENTRADA. 1. Complete el diagrama de tiempos del circuito mostrado (dibuje la salida Q ): (2 ptos.) clock. clrn PONTIFICIA UNIVEIA CATÓLICA EL PEÚ ETUIO GENEALE CIENCIA ANIEL LLAMOCCA PUEBA E ENTAA CÓIGO : LAB. Nº: 5 HOAIO: H-44. Complete el digrm de tiempos del circuito mostrdo (diuje l slid ): (2 ptos.) E E 2.

Más detalles

Practica 9 Estilos de codificar a estados FCE

Practica 9 Estilos de codificar a estados FCE Practica 9 Estilos de codificar a estados FCE2015-04-07 Parte 1. Diagramas de estado con VHDL Parte2. Cartas ASM con VHDL Introducción En el diseño de sistemas digitales, una parte importante es poder

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) TERCERA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) TERCERA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) TERCERA CLASE DE VHDL TIPO DE DATO integer DESCRIPCIÓN ESTRUCTURAL Ejemplo: Comparador Sumador Agrupación de bloque mediante package Uo de la entencia port

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

Introducción a VHDL Circuitos Secuenciales

Introducción a VHDL Circuitos Secuenciales Introducción a VHDL Circuitos Secuenciales Sistemas Digitales (23357) Profesor: Carlos A. Fajardo (cafajar@uis.edu.co) Actualizado Agosto 2013 Proceso Un proceso en VHDL es una construcción que contiene

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2018

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2018 Trabajo Práctico - Junio 2018 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones propuestas

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Septiembre 2013

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Septiembre 2013 Trabajo Práctico - Septiembre 2013 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones

Más detalles

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Pablo Huerta Pellitero Luis Rincón Córcoles ÍNDICE Bibliografía

Más detalles

8. Multiplexores Digitales

8. Multiplexores Digitales 8. Multiplexores Digitales El multiplexor permite seleccinar del total de líneas de entrada una única y trasladar la información que conlleva dicha línea a la salida del circuito. Suele utilizarse para

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Convocatoria Ordinaria 2012

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Convocatoria Ordinaria 2012 Trabajo Práctico - Convocatoria Ordinaria 2012 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de

Más detalles

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje VHDL Objetos, tipos de datos y operadores Unidades

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

Práctica 3. Convertidores de códigos

Práctica 3. Convertidores de códigos . Objetivo Práctic Convertiores e cóigos El lumno construirá un circuito convertior e cóigo y esplegrá su resulto en un exhibior e siete segmentos.. Anteceentes L informción en un sistem igitl se proces

Más detalles

DISEÑO DE TRANSFERENCIA DE REGISTROS

DISEÑO DE TRANSFERENCIA DE REGISTROS IEÑO E TANFEENCIA E EGITO ieño de tranferencia de regitro Parte de un itema digital Unidad de proceamiento: e almacenan y tranforman lo dato Unidad de control: Genera la ecuencia e eñale de control de

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb.

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb. Estructura de VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida.

Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida. Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida. En electrónica digital un multiplexor equivale a un conmutador.

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Multiplicador Digital

Multiplicador Digital Multiplicador Digital Dr. Andrés David García García Departamento de Mecatrónica Escuela de Ingeniería y Ciencias Multiplicador Existen varios métodos básicos para el cálculo de la multiplicación de dos

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2014

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2014 Trabajo Práctico - Junio 2014 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones propuestas

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006.

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. OBJETIVOS: Con este proyecto se pretende que el alumno practique y afiance los conocimientos

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2017

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2017 Trabajo Práctico - Junio 2017 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones propuestas

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos del diseño

Más detalles

Ejemplo básico de descripción VHDL

Ejemplo básico de descripción VHDL Ejemplo básico de descripción VHDL Describir en VHDL un circuito que multiplexe dos líneas (a y b) de un bit, a una sola línea (salida) también de un bit; la señal selec sirve para indicar que a la salida

Más detalles

CUESTIONES RESUELTAS

CUESTIONES RESUELTAS CUETIONE EUELTA ) Cuál es l principl diferenci entre un circuito de control nlógico y otro digitl? ) Indicr y justificr l principl ventj de uno frente otro. (electividd ndluz). Un circuito nlógico funcion

Más detalles

Operadores y atributos en VHDL [1]

Operadores y atributos en VHDL [1] Operadores y atributos en VHDL [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014

Más detalles

11. Identificadores. Identificadores básicos: Identificadores extendidos: Identificadores inválidos: Ejemplo de identificadores válidos:

11. Identificadores. Identificadores básicos: Identificadores extendidos: Identificadores inválidos: Ejemplo de identificadores válidos: 11. Identificdore Nomre que identific eñle, vrile, contnte, proceo, entidde, rquitectur, lirerí, funcione, procedimiento, li, puerto, genéricoy plr clve Do tipo: áico y extendido Identificdore áico: No

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

ÍNDICE. Sistemas Electrónicos Digitales Práctica 2

ÍNDICE. Sistemas Electrónicos Digitales Práctica 2 ÍNDICE Índice... 1 Descripción de la práctica... 2 Características del FPGA... 2 Características del Modulo de Audio... 2 Descripción del código de programa... 3 Máquina de estados... 3 ROM de Notas...

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 ÍNDICE Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos

Más detalles

Estructura y Tecnología de Computadores (ITIG)

Estructura y Tecnología de Computadores (ITIG) Etructur y Tecnologí de Computdore (ITIG) Lui Rincón Córcole Joé Igncio Mrtínez Torre Sun Borromeo Critin Conde Vild Ángel Serrno Sánchez de León Progrm. Introducción. 2. Puert lógic áic. 3. Análii y íntei

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL 1.1. Introducción 1.2. Lenguajes para la descripción de hardware 1.3. Ciclo de diseño de los circuitos digitales 1.4. Tecnologías de circuitos integrados

Más detalles

(4, 3, 1) (iii) Defina estrategia débilmente dominada. Qué estrategias están débilmente

(4, 3, 1) (iii) Defina estrategia débilmente dominada. Qué estrategias están débilmente . Conidere el iguiente juego en form norml: (4,, ) (,, ) (, β, ) (, 6, ) (0,, 4) (, 4, ) (i) efin etrtegi. Repreente el juego en form norml. (ii) efin equilirio de N. Oteng lo equilirio de N. (iii) efin

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 1. Dado un decodificador de dos entradas (DEC 2:4), dar la descripción estructural, la descripción

Más detalles

Diseño electrónico digital integrado: Back to basics. Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid

Diseño electrónico digital integrado: Back to basics. Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid Diseño electrónico digital integrado: Back to basics Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid Flip-flop D con Reset Asíncrono Captura un dato con el flanco de reloj

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2)

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) TECNOLOGÍA DE COMPUTADORES Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) Agustín Álvarez Marquina Introducción (I) Causas del avance en el diseño de los sistemas digitales en los últimos

Más detalles

TEMA 8. Circuitos Secuenciales de Propósito General

TEMA 8. Circuitos Secuenciales de Propósito General Fundamentos de los Computadores. Circuitos Secuenciales de Propósito General T8-1 TEM 8. Circuitos Secuenciales de Propósito General INICE: REGISTROS E ESPLZMIENTO o CRG SERIE Y PRLEL o UNIVERSL ISEÑO

Más detalles

PLANTILLA. [t], Maximino Peña Guerrero,

PLANTILLA. [t], Maximino Peña Guerrero, PLANTILLA Instrucciones secuenciales: CASE CASE ejecuta una o varias secuencias de instrucciones que dependen del valor de una sola expresión. SINTAXIS case expression is when choices => {sequential_statement}

Más detalles

PRUEBA DE ENTRADA E P3 P2

PRUEBA DE ENTRADA E P3 P2 PONTIFICIA UNIVRSIDAD CATÓLICA DL PRÚ STUDIOS GNRALS CINCIAS DANIL LLAMOCCA PRUBA D NTRADA NOMBR : FCHA: / /5 CÓDIGO : LAB. Nº: HORARIO: H-44. Complete el diagrama de tiempos del siguiente circuito (determine

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Septiembre 2017

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Septiembre 2017 Trabajo Práctico - Septiembre 2017 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones

Más detalles

Sesión 6: Diseño Lógico con VHDL

Sesión 6: Diseño Lógico con VHDL Sesión 6: Diseño Lógico con VHDL S6 VHDL: de la tecnología a la arquitectura de computadores. José J. Ruz Ortiz, Síntesis 997 Estilos de descripción de un circuito lógico S6 2 VHDL: de la tecnología a

Más detalles

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones).

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). HDL: Estos lenguajes permitieron solucionar

Más detalles

Sesión 3: Modelo temporal: VHDL concurrente. S3 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 3: Modelo temporal: VHDL concurrente. S3 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 3: Modelo temporal: VHDL concurrente S3 1 Dominios secuencial y concurrente en VHDL ENTITY dispositivo IS PORT(pe1,...:IN tipoe; ps1,...:out tipos; pes1,...:inout tipoes END dispositivo ARCHITECTURE

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

SENTENCIA LOOP 1.- Implementar y simular el siguiente circuito que cuenta el número de bits 1 que ingresan

SENTENCIA LOOP 1.- Implementar y simular el siguiente circuito que cuenta el número de bits 1 que ingresan UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRÓNICA ESCUELA DE ELECTRONICA Laboratorio N 3: Descripción VHDL utilizando Estilo Algorítmico-Parte II SENTENCIA LOOP 1.- Implementar

Más detalles

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL es un lenguaje de descripción de hardware Es útil para la síntesis y verificación de circuitos digitales Historia

Más detalles

SISTEMAS DIGITALES VHDL

SISTEMAS DIGITALES VHDL SD SISTEMAS DIGITALES VHDL Fredy Hernán Riascos Campiño Practica 1a: Implementación de un incrementador: Se he de implementar un modulo incrementador (INC), Este modulo no es mas que un sumador y un registro

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

EN ESTE CIRCUITO NO HAY FORMA DE QUE LA SALIDA Q VUELVA A VALOR CERO 0, SIEMPRE QUEDA EN UNO 1

EN ESTE CIRCUITO NO HAY FORMA DE QUE LA SALIDA Q VUELVA A VALOR CERO 0, SIEMPRE QUEDA EN UNO 1 FF PINCIPIO t t pd t+ = ( + ) t Futuro Presente EN ETE CICUITO NO HAY FOMA DE UE LA ALIDA VUELVA A VALO CEO, IEMPE UEDA EN UNO. POPONEMO EL IGUIENTE CICUITO EL CUAL PEMITE UE LA ALIDA VAYA A CEO MEMOIA

Más detalles

Tutorial 2: Pasar de diagrama ASM a un circuito

Tutorial 2: Pasar de diagrama ASM a un circuito Tutorial 2: Pasar de diagrama ASM a un circuito Introducción En este segundo tutorial veremos cómo transformar nuestros diagramas ASM en circuitos que puedan ser implementados utilizando la placa DEO.

Más detalles

VHDL. Laboratorio de Arquitectura de Computadores. Curso I. T. Informática de Gestión I. T. Informática de Sistemas

VHDL. Laboratorio de Arquitectura de Computadores. Curso I. T. Informática de Gestión I. T. Informática de Sistemas Laboratorio de Arquitectura de Computadores I. T. Informática de Gestión I. T. Informática de Sistemas Curso 2006-2007 Transparencia: 2 / 50 Índice 1. Conceptos básicos 2. Modelos de Hardware 3. Unidades

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles