11. Identificadores. Identificadores básicos: Identificadores extendidos: Identificadores inválidos: Ejemplo de identificadores válidos:

Tamaño: px
Comenzar la demostración a partir de la página:

Download "11. Identificadores. Identificadores básicos: Identificadores extendidos: Identificadores inválidos: Ejemplo de identificadores válidos:"

Transcripción

1 11. Identificdore Nomre que identific eñle, vrile, contnte, proceo, entidde, rquitectur, lirerí, funcione, procedimiento, li, puerto, genéricoy plr clve Do tipo: áico y extendido Identificdore áico: No puede er un plr clve (proce, ignl, nd, entity) Empiezn por letr Compueto por cráctere lfnumérico Puede incluir _, no do conecutivo o como último Inenile myúcul/minúcul Identificdore extendido (VHDL 93): Comprendido entre \\ Puede contener culquier crácter Senile myúcul/minúcul 11. Identificdore Identificdore áico: MultipleXor_1 multiplexor_1 multiplexor1 Identificdore extendido: /multiplexor #1/ /MultipleXor #1/ Identificdore inválido: AND 2Dec_1 MUX_ Plr clve Identicdore áico igule Inenile myu/minu No empiez por letr Finliz con _ Identicdore extendido diferente Seniel myu/minu MUX-1 MUX#1 MUX 1 Ejemplo de identificdore válido: proceo_mux_1_3 Entidd3TO5A /@1 Proceo MUX-1/ / &Proceo%MUX$1/ Contine cráctere no lfnumérico Contine do _ conecutivo Cráctere lfnumérico y _ Empiez por letr Cráctere entre // entity MyX_1_1 i port( \ 1\ : in it; \in\ : in it; \ -2\ : out it); end entity;

2 12. Literle Vlor que e ign un eñl, vrile, contnte directmente en l decripción VHDL Cinco tipo: numérico, enumerdo, cden de cráctere, cden de it y null Numérico: entero, rele y fíico Por defecto en e 10 (literl deciml). Be de 2 16 Formto entero:[e#]entero[#[eexponente]] Formto rel:[e#]entero.entero[#[eexponente]] Formto fíico: entero o rel eguido de unidd fíic Puede incluir _, no do conecutivo o como último #2_54# 10#254#E0 16#FE# 2#1111_11_10# 2#1111_11_1#E1 100E+3 2#1#E $FE % *10^3= *2^10= #25_400.0#E-3 16#FE.0#E-1 16#F.E# 2#1111_1110.0#E /10^3= /16^ *16^-1 254/2^ m u e+9 p Unidde fíic de tipo time 12. Literle Enumerdo: L definición de enumerdo e un lit de identificdore El literl e l ignción de un identificdor del tipo enumerdo type \ Tipo AXT \ i (A,X,\NULL\,\?#@! \, T); contnt cte_: \ Tipo AXT \ :=A; contnt cte_null: \ Tipo AXT \ :=\NULL\; contnt \cte?#@! \: \ Tipo AXT \ :=\?#@! \; Lit de identificdore en definición de tipo enumerdo de identificdor \ Tipo AXT \ Cden de cráctere: Definido entre Cden de it: Definido entre Formto: [letr_e] cden_it Por defecto en e 2. X pr hex, O pr oct, B in Puede incluir _, no do conecutivo o como último "1111_1111" B"1111_1111" B" " X"FF" O"377" Literle del tipo enumerdo

3 12. Literle null: No ignción de un vlor Se u hitlmente en ignción when other=>null dentro de un entenci ce lirry ieee; ue ieee.td_logic_1164.ll; entity mux i port( : in td_logic_vector(1 downto 0);,: in td_logic_vector(1 to ); o: out td_logic_vector(7 downto 0)); end entity; mux 2 o rchitecture com1 of mux i \ p#1 \:proce(,,) ce i when "00"=> o<=; when "01"=> o<=; end ce; end; when other=> o<=(other=> X ); Vlor por defecto de o: X imulción 0 ó 1 en íntei Todo lo co deen etr cuierto (1:) (1:) 0 1 (0) o(7:0) 12. Literle null: No ignción de un vlor Se u hitlmente en ignción when other=>null dentro de un entenci ce rchitecture com2 of mux i \ p#1 \:proce(,,) o<=(other=>'x'); ce i when "00"=> o<=; when "01"=> o<=; when other=> null; end ce; end; Tom vlor por defecto No hy vlor por defecto: l ignción de eñl null infiere ltch end; rchitecture eq of mux i \ p#1 \:proce(,,) ce i when "00"=> o<=; when "01"=> o<=; when other=> null; end ce; (1:) (1:) 0 1 o(7:0) (1:) (1:) 0 1 d q D-ltch e o(7:0) (0) (0) (1)

4 13. Comentrio Sólo un líne, comenzndo por -- y cndo l finl de l líne Puede contener culquier crácter Se un generlmente pr comentr código Tmién e udo por lo intetizdore pr directiv A<=B; --Comienz comentrio culquier y termin l finl de l líne --Pr continur el comentrio en l iguiente líne dee empezr con -- --ynthei trnlte_off código no intetizle tetech, verificción --ynthei trnlte_on Directiv pr intetizdor Pr el imuldor on comentrio --ynopi trnlte_off código no intetizle tetench, verificción --ynopi trnlte_on 14. Atriuto Permite otener informción de tipo de dto, eñle, vrile (u)tipo, configurcione, rquitectur, pquete y generr código con dependenci Exiten triuto predefinido y definido por uurio No todo lo triuto predefinido on intetizle Lo triuto intetizle generlmente on: high low left right rnge revere_rnge event Todo lo tipo: T e Todo lo eclre: T left T right T low T high T cending T imge(x) T vlue(x) devuelve el (u)tipo e de T vlor má l izquierd de T vlor má l derech de T vlor menor de T vlor myor de T ooleno true i T e de rngo cendente cden de texto del vlor X del tipo T vlor de T repreentdo en texto X

5 14. Atriuto Eclre enumerdo y fíico: T po(x) T vl(x) T ucc(x) T pred(x) T leftof(x) T rightof(x) poición de X en T vlor de T en l poición X vlor de T en poición poterior X vlor de T en poición nterior X vlor de T en poición izquierd X vlor de T en poición derech X Arry: Si T e un rry de 1 dimenión, (n) no prece T left[(n)] vlor má l izquierd de T[(n)] T right[(n)] vlor má l derech de T[(n)] T low[(n)] vlor menor de T[(n)] T high[(n)] vlor myor de T[(n)] T rnge[(n)] rngo de T[(n)] T revere_rnge[(n)] rngo invertido de T[(n)] T cending[(n)]ooleno true i T[(n)] e de rngo cendente 14. Atriuto Signl: Aquello triuto con [(t)] e tom por defecto t= S event ooleno true i S ufre evento en el ciclo imulción ctul S ctive ooleno true i S recie ignción en ete ciclo imulción S lt_event tiempo dede último evento en S S lt_ctive tiempo dede últim ignción S S lt_vlue nterior vlor de S depué del último evento S driving ooleno true i todo lo elemento de S tienen ignción dentro del proceo S driving_vlue vlor del driver de S dentro del proceo que ign vlor S S delyed[(t)] eñl derivd de S, retrdd t S tle[(t)] eñl oolen true i S no h ufrido evento durnte t S quiet[(t)] eñl oolen true i S no h reciido ignción durnte t S trnction eñl tipo it que conmut i S recie ignción Entidde: E imple_nme cden de cráctere con el nomre de l entidd E E pth_nme cden de cráctere con l jerrquí del dieño ht E E intnce_nme cden de crctere con l jerrquí del dieño ht E, incluyendo lo nomre de l intnci

6 14. Atriuto Ejemplo del uo de triuto en un umdor erie de 4-it: otiene l um prcil de lo 4-it de l entrd cd de reloj, empezndo por lo LSB. Se dee reeter (reet íncrono) nte de empezr umr. 4 4 dder rt 4 o 4 4 cout Σ cin q 4 DFF rt d o lirry ieee; ue ieee.td_logic_1164.ll; ue ieee.td_logic_rith.ll; rt entity dder i port(,rt: in td_logic;,: in td_logic_vector(3 downto 0); o: out td_logic_vector(2 to 5)); end; 14. Atriuto rchitecture eh of dder i ignl crry: td_logic; ignl o_int: td_logic_vector(o'rnge); o<=o_int; --permite leer puerto o de lid p_o: proce(,,crry) vrile um: unigned(o'revere_rnge); um:=unigned()+unigned(); if crry='1' then um:=um+1; o_int<=td_logic_vector(um); Señle. Se ctulizn l finlizr el ciclo ctul de imulción Form de leer un puerto de lid. Se declr un eñl intern, y e ecrie y lee en et. Dee her un ignción l eñl de lid con l eñl intern Lógic comincionl. Tod l eñle leid en l lit de eniilidd p_crry: proce() li m_: td_logic i ('left); li m_: td_logic i ('high); li m_o: td_logic i o_int(o_int'low); if riing_edge() then --'event nd ='1' if rt='1' then crry<='0'; ele Función riing_edge declrd en pquete td_logic_1164 Ali e dr otro nomre un eñl o prte de eñl crry<=(m_ nd m_)or(not m_o nd(m_ or m_)); end; Lógic ecuencil íncron. L eñl de reloj en l lit de eniilidd y entenci de comproción de flnco de reloj

7 15. Ojeto VHDL Tipo de ojeto que e un en l unidde de dieño: Contnte Vrile Señle Ali Fichero Suprogrm: Funcione Procedimiento Contnte Ojeto de un tipo de dto, cuyo vlor no puede er cmido un vez inicilizdo L contnte deen er declrd e inicilizd en l mim entenci, excepción de l contnte diferid contnt contnte : tipo := vlor; Un contnte diferid e declr dentro de un pquete, pero e inicilizd en el cuerpo del pquete pckge pquete i contnt contnte : tipo1 := vlor1; contnt cont_diferid : tipo2; end pckge pquete; pckge ody pquete i contnt cont_diferid : tipo2 := vlor2; end [pckge ody] [pquete];

8 15.2. Vrile Ojeto de un tipo de dto, cuyo vlor puede er cmido Al declre pueden inicilizre un vlor L vrile on declrd dentro de lo proceo y uprogrm(funcione, procedimiento) y on locle et [proceo:] proce [(lit_eniilidd)] [i] vrile nomre_vle : tipo [:= vlor_inicil]; nomre_vle:=igncion; end proce [proceo]; L vrile comprtid (hred) pueden er declrd fuer de proceo o funcione y comprtid por vrio proceo y funcione. No on intetizle y no e recomendle u uo por poder llevr reultdo impredecile rchitecture rquitectur of entidd i hred vrile nomre_vle : tipo [:= vlor_inicil]; end [rchitecture] [rquitectur]; Vrile Se ign vlor vrile con vrile:=expreión; L vrile no tienen memori: Su ignción tiene efecto inmedito en el mimo ciclo de imulción No e poile epecificr retrdo en l ignción Un ól ignción concurrente, excepto tipo hred

9 15.3. Señle Ojeto de un tipo de dto, cuyo vlor puede er cmido Al declre pueden inicilizre un vlor Lo puerto I/O declrdo en un entidd on eñle L eñle on declrd en rquitectur, y on comprtid por todo lo proceo, igncione concurrente e intnci componente rchitecture rquitectur of entidd ignl nomre_ig : tipo [:= vlor_inicil]; [proceo:] proce [(lit_eniilidd)] [i] nomre_ig<=ignción; end proce [proceo]; nomre_ig<=ignción; intnci: componente(,nomre_ig,); end [rchitecture] [rchitectur]; L eñle tmién pueden er declrd en uprogrm (funcione o procedimiento) y loque, iendo locle eto. L eñle tmién pueden er declrd en pquete y viile en l unidde de dieño que referencin el pquete Señle Se ign vlor eñle con eñl<=expreión; L vrile tienen memori: Su ignción no tiene efecto inmedito en el mimo ciclo de imulción (mínimo retrdo ) Poile epecificr retrdo en l ignción (por defecto ) Poile vri igncione concurrente i e de tipo reuelto L eñle incronizn proceo (y igncione concurrente): Cundo un eñl ufre un evento, ctiv lo proceo que leen et eñl Al finlizr todo lo proceo ctivdo, e vnz el ciclo de imulción ht el iguiente evento ore un eñl T+10n <=not fter 10 n; T DUT: precler(,rt,o); T T proce() cnt<=cnt+1; T+ o<= 1 when cnt=3 ele 0 ; T+2δ proce(,o)

10 15.3. Señle Un vlor contnte inicil ddo un eñl no e intetizle, iendo ignordo por el intetizdor. Pr ignr un vlor contnte inicil e dee ur un eñl de reet rchitecture im of dder i ignl crry: td_logic:='0'; p_crry: proce() if riing_edge() then crry<= end; Vlor inicil de eñl crry. E ignordo por el intetizdor, ólo válido pr imulción. Proceo ctivdo por un evento en rchitecture ynch of dder i ignl crry: td_logic; p_crry: proce(,rt) if rt='1' then crry<='0'; elif riing_edge() then crry<= end; Un eñl de reet íncrono iniciliz el vlor de crry Proceo ctivdo por un evento en o en rt rchitecture ynch of dder i ignl crry: td_logic; p_crry: proce() if riing_edge() then if rt='1' then crry<='0'; ele crry<= end; Un eñl de reet íncrono iniciliz el vlor de crry Proceo ctivdo por un evento en Señle L epecificción de retrdo e ignord en l íntei, ólo e u pr modelizción y imulción Do tipo de retrdo: trnporte e inercil Retrdo de trnporte: model retrdo de propgción en líne de trnmiión eñl<=trnport expreión fter dely; Retrdo inercil (por defecto): model retrdo de conmutción en dipoitivo. Lo pulo má corto que el epecificdo en dely no on trnmitido. eñl<=[inertil] expreión fter dely; El retrdo inercil puede epecificr l nchur mínim de pulo. Son trnmitido lo pulo má lrgo que el epecificdo en dely2 eñl<=reject dely2 inertil expreión fter dely;

11 15.3. Señle 10n 5n <=trnport not fter 10 n; 4n <=not fter 10 n; Pulo <10n no trnmitido <=reject 4 n inertil not fter 10 n; Pulo >4n trnmitido Señle Ejemplo: Se pretende intetizr un multiplexor de cutro entrd de -it, incronizdo por flnco de reloj, con diferente decripcione de comportmiento con eñle y vrile lirry ieee; ue ieee.td_logic_1164.ll; entity ynch_mux i port( : in td_logic; : in td_logic_vector(0 to 1);,,c,d: in td_logic_vector(7 downto 0); o: out td_logic_vector(7 downto 0)); end entity; 2 00 c d d q DFF o c d 2 ynch_mux o d o

12 15.3. Señle rchitecture vr0 of ynch_mux i proce(,,,,c,d) vrile x: td_logic_vector(o'rnge); ce i when "00"=> x:=; when "01"=> x:=; when "10"=> x:=c; when other=> x:=d; end ce; if flling_edge() then o<=x; end rchitecture; Dentro de lo proceo, l igncione on ecuencile rchitecture ig0 of ynch_mux i ignl x: td_logic_vector(o'rnge); proce(,,,,c,d) ce i when "00"=> x<=; when "01"=> x<=; when "10"=> x<=c; when other=> x<=d; end ce; if flling_edge() then o<=x; end rchitecture; x d o d x d o d Señle rchitecture ig0 of ynch_mux i ignl x: td_logic_vector(o'rnge); proce(,,,c,d) ce i when "00"=> x<=; when "01"=> x<=; when "10"=> x<=c; when other=> x<=d; end ce; proce() entre ello if flling_edge() then o<=x; end rchitecture; Un ignción de eñl e un proceo. Hy un decripción de proceo equivlente Lo proceo on concurrente entre i. D igul el orden rchitecture ig0 of ynch_mux i ignl x: td_logic_vector(o'rnge); with elect x<= when "00", when "01", c when "10", d when other; proce() if flling_edge() then o<=x; end rchitecture; x d o d x d o d

13 15.3. Señle rchitecture vr1 of ynch_mux i proce() vrile x: td_logic_vector(o'rnge); if flling_edge() then ce i when "00"=> x:=; when "01"=> x:=; when "10"=> x:=c; when other=> x:=d; end ce; o<=x; end rchitecture; rchitecture ig1 of ynch_mux i ignl x: td_logic_vector(o'rnge); proce() if flling_edge() then ce i when "00"=> x<=; when "01"=> x<=; when "10"=> x<=c; when other=> x<=d; end ce; o<=x; end rchitecture; x d x d o d o d c d Señle El reultdo de l íntei e el eperdo en tod l rquitectur, excepto ig1, coincidiendo el reultdo intetizdo pr o con l imulción de l lid o c d d q DFF vr0,ig0,ig0 ig0,vr1 DFF El reultdo de l íntei de l rquitectur ig1 e diferente l reto, y que l imulción de l eñl de lid o e diferente o d q d q DFF ig1 o rchitecture ig1 of ynch_mux i ignl x: td_logic_vector(o'rnge); proce() if flling_edge() then ce i when "00"=> x<=; when "01"=> x<=; when "10"=> x<=c; when other=> x<=d; end ce; o<=x; end rchitecture; x d o d 00

14 15.4. Ali Permiten dr un nomre lterntivo un ojeto (eñl, vrile, contnte o fichero) y credo. li nomre : tipo i nomre_ojecto; Normlmente e un pr mejorr l legiilidd del código, l definir con nomre lterntivo porcione de otro ojeto Hy intetizdore que no lo oportn ignl intruction: it_vector(15 downto 0); li fll: it_vector i intruction; li rev: it_vector(fll'revere_rnge) i fll(fll'rnge); li op: it_vector(3 downto 0) i intruction(15 downto 12); li rc: it_vector(1 downto 0) i intruction(11 downto 10); li dt: it_vector(1 downto 0) i intruction(9 downto ); li dt: it_vector(0 to 7) i intruction(7 downto 0); intruction full rev op dt rc dt Suprogrm Decrien el comportmiento de prte de un item. L decripción del comportmiento e imilr l ud en lo proceo Pueden declre y ure pquete, rquitectur, proceo, o dentro de otro uprogrm Compueto de do prte: Declrción: nomre y prámetro entrd y lid. E opcionl i el cuerpo etá dentro de l rquitectur, proceo, u otro uprogrm. Oligdo i etá dentro de un pquete Cuerpo(ody): implementción del comportmiento. Etá en el cuerpo del pquete, o en l zon de declrcione de l rquitectur, proceo o uprogrm. E poile l orecrg Do tipo de uprogrm: funcione y procedimiento

15 15.5. Suprogrm Funcione: Retornn un vlor, precin de return expreión Se imuln intntánemente, no permiten retrdo ni wit No e poile ignción de eñle dentro de l función, ólo e poile ignción vrile No pueden modificr lo prámetro de entrd Llmd: ocición poicionl o nomrd eñl<=función(pr1,pr2); eñl<=función(ctm=>prm,ctn=>prn,); vrile:=función(pr1,pr2); vrile:=función(ctm=>prm,ctn=>prn,); E poile l orecrg de operndo undo como nomre de l función operndo function función(pr1: tipo1; pr2: tipo2; ) return tipo; function función(pr1: tipo1; pr2: tipo2; ) return tipo i --declrcione vrile, contnte, li, (u)tipo, uprogrm; --entenci ecuencile imilre l de lo proceo; --no igncione eñle ni retrdo ni wit end [function] [función]; Suprogrm Ejemplo: Decodificdor BCD LCD 7 egmento genérico pr vrio dígito, emplendo funcione dentro en un pquete 4*digit 4*digit LCD e function dec7eg 7*digit d 7*digit q DFF e e lirry ieee; ue ieee.td_logic_1164.ll; ue work.pquetelcd.ll; entity LCD i generic(digit: integer:=4); port(,e: in td_logic; : in td_logic_vector (digit*4-1 downto 0); : out td_logic_vector (digit*7-1 downto 0)); end entity; rchitecture eh1 of LCD i proce() if riing_edge() then if e='1' then <=dec7eg(); end rchitecture; Genérico digit (por defecto 4) pr definir el tmño de lo ue entrd y lid Apertur del pquete pquetelcd donde e declr e implement l función dec7eg ud en l rquitecutur eh1. Llmd l función por ocición poicionl

16 15.5. Suprogrm lirry ieee; ue ieee.td_logic_1164.ll; ue ieee.td_logic_rith.ll; pckge pquetelcd i function dec7eg(:unigned(0 to 3)) return td_logic_vector; function dec7eg(:td_logic_vector) return td_logic_vector; end pckge; Declrción del pckge pquetelcd. Contiene declrcione Do funcione orecrgd dec7eg pckge ody pquetelcd i function dec7eg(:unigned(0 to 3)) return td_logic_vector i vrile : td_logic_vector(0 to 6):=" "; ce conv_integer() i when 0=> :=" "; when 1=> :=" "; when 2=> :=" "; --reto de condicione when 15=> :=" "; when other=> null; end ce; return ; end function; --continu el pquete en l iguiente págin Cuerpo del pckge pquetelcd, donde etán lo cuerpo de l funcione declrd Cuerpo de l función dec7eg con prámetro de entrd de tipo unigned(0 to 3) Implement un decodificdor BCD 7 egmento Suprogrm Sintetizle, y que tod l opercione ritmétic e hcen con contnte, un vez e llm l función dede l rquitectur eh1 --continución del pquete de l nterior págin function dec7eg(:td_logic_vector) return td_logic_vector i vrile digit:integer:='length/4; vrile : td_logic_vector(digit*7-1 downto 0); vrile 0: unigned(3 downto 0); ert 'length rem 4=0 nd not 'cending report "Tmño de u incorrecto o rngo cendente" everity FAILURE; for i in 1 to digit loop 0:=unigned((i*4-1 downto (i-1)*4)); (i*7-1 downto (i-1)*7):=dec7eg(0); end loop; return ; end function; end pckge ody; Reultdo de lid de l función Cuerpo del pckge pquetelcd, donde etán lo cuerpo de l funcione declrd Cuerpo de l función dec7eg con prámetro de entrd de tipo td_logic_vector Implement vrio decodificdore BCD 7 egment Llmd l función previmente implementd: dec7eg(:unigned) return td_logic_vector, por ocición poicionl Divide el dto de entrd en conjunto de 4-it (1 dígito BCD) pr dr lid 7-it (1 dígito 7 egmento), undo un ucle Comproción de prámetro de entrd e correcto. Si no e cumple l condición el imuldor c el report por l conol y FAILURE (definido en el pquete tndrd) termin l imulción. El intetizdor ignor el ert

17 15.5. Suprogrm Procedimiento: No retornn un vlor, ino modific prámetro de entrd Se pueden imulr con retrdo y entenci wit, unque no uele er intetizle con wit E poile l ignción de eñle o vrile dentro del procedimiento Pueden modificr lo prámetro de entrd Llmd: proced(pr1,pr2,); proced(ctn=>prn,ctm=>prm,); procedure proced( [contnt vrile ignl file] pr1: [in out inout] tipo1; ); procedure proced( [contnt vrile ignl file] pr1: [in out inout] tipo1; )i --declrcione vrile, contnte, li, (u)tipo, uprogrm; --entenci ecuencile imilre l de lo proceo; --igncione eñle y/o vrile, con o in retrdo y wit end [procedure] [proced]; Por defecto modo in pr prámetro lectur. Por defecto e ojeto contnt Modo out pr prámetro ecritur. Por defecto e ojeto vrile Modo inout pr prámetro lectur/ecritur. Por defecto e ojeto vrile Si e ojeto de tipo file no llev modo Suprogrm Ejemplo: Decodificdor BCD LCD 7 egmento genérico pr vrio dígito, emplendo procedimiento locl dentro de un proceo, demá de l funcione declrd en pquetelcd lirry ieee; ue ieee.td_logic_1164.ll; ue ieee.td_logic_rith.ll; ue work.pquetelcd.ll; rchitecture eh2 of LCD i proce(,e) procedure ynch_dec7eg( ignl : in td_logic; ignl din: in td_logic_vector; ignl dout: out td_logic_vector) i if riing_edge() then dout<=dec7eg(=>din); end procedure; if e='1' then ynch_dec7eg(din=>,=>,dout=>); end rchitecture; Proceo que llm l procedimiento cundo l hilitción e= 1 Cuerpo de un procedimiento locl l proceo. Decodific incronmente con de dígito BCD 7 emento, undo l función dec7eg del pckge pquetelcd, llmd con ctul Llmd l procedimiento con ctul: ynch_dec7eg(ignl ; in td_logic; )

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) TERCERA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) TERCERA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) TERCERA CLASE DE VHDL TIPO DE DATO integer DESCRIPCIÓN ESTRUCTURAL Ejemplo: Comparador Sumador Agrupación de bloque mediante package Uo de la entencia port

Más detalles

Estructura y Tecnología de Computadores (ITIG)

Estructura y Tecnología de Computadores (ITIG) Etructur y Tecnologí de Computdore (ITIG) Lui Rincón Córcole Joé Igncio Mrtínez Torre Sun Borromeo Critin Conde Vild Ángel Serrno Sánchez de León Progrm. Introducción. 2. Puert lógic áic. 3. Análii y íntei

Más detalles

Tema IV: Circuitos Combinacionales Básicos

Tema IV: Circuitos Combinacionales Básicos Informátic Básic Tem IV: Circuitos Comincionles Básicos 1. INTRODUCCIÓN 2. CIRCUITO ARITMÉTICO 2.1 Elementos umdores 2.1.1 emisumdor 2.1.2 umdor Completo 2.2 Elementos Restdores 2.2.1 emirestdor 2.2.2

Más detalles

METODO DEL ESPACIO DE ESTADO

METODO DEL ESPACIO DE ESTADO Fcltd de Ingenierí Bioingenierí Control de Proceo METODO DEL ESPACIO DE ESTADO ESTADO: El etdo de n item dinámico e el conjnto má eqeño de vrile denomind vrile de etdo tl qe el conocimiento de e vrile

Más detalles

ANÁLISIS DE SISTEMAS LINEALES SISTEMA. Posee ESTRUCTURA. Figura 1.1: Definición de Sistema

ANÁLISIS DE SISTEMAS LINEALES SISTEMA. Posee ESTRUCTURA. Figura 1.1: Definición de Sistema ANÁLISIS DE SISTEAS LINEALES 1. odeldo de item SISTEA Reliz FUNCIÓN Poee ESTRUCTURA Preent COPORTAIENTO Figur 1.1: Definición de Sitem Sitem: Un item reliz un función, poee un etructur y preent un comportmiento.

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 SEMI-SUMDOR SUMNDOS SUM CRREO B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 SUM BINRI B S = B S =. B SUMDOR TOTL Ejemplo de suma B Ci Ci 1 1 0 0 1 i 1 1 1 0 1 Bi 1 0 0 1 Si 1 0 0 1 1 0 Co 1 1 0 0 1 Σ S Co Ci B

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

Tema 3 Respuesta en Frecuencia

Tema 3 Respuesta en Frecuencia CIRCUITOS ANALÓGICOS SEGUNDO CURSO Tem 3 Repuet en Frecuenci Sebtián López y Joé Fco. López Intituto Univeritrio de Microelectrónic Aplicd IUMA Univeridd de L Plm de Grn Cnri 357 - L Plm de Grn Cnri Tfno.

Más detalles

Operadores y atributos en VHDL [1]

Operadores y atributos en VHDL [1] Operadores y atributos en VHDL [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014

Más detalles

Práctica # 4 (30/05/00): Relaciones termodinámicas. Evaluación de cambio de propiedades. P T = T. Empleando la relación de reciprocidad se despeja:

Práctica # 4 (30/05/00): Relaciones termodinámicas. Evaluación de cambio de propiedades. P T = T. Empleando la relación de reciprocidad se despeja: Unieridd Simón Bolír Deprtmento de ermodinámic y Fenómeno de rnferenci F-33 ermodinámic II rof. Hernán Guerrero D ráctic # 4 (30/05/00): elcione termodinámic. Elución de cmio de propiedde. OBLEMA : Demotrr

Más detalles

POTENCIAS Y LOGARITMOS DE NÚMEROS REALES

POTENCIAS Y LOGARITMOS DE NÚMEROS REALES www.mtesrond.net José A. Jiméne Nieto POTENCIAS Y LOGARITMOS DE NÚMEROS REALES. POTENCIAS DE NÚMEROS REALES.. Potencis de eponente entero L potenci de se un número rel eponente entero se define sí: n (

Más detalles

1. Indicar el lenguaje aceptado por los siguientes autómatas :

1. Indicar el lenguaje aceptado por los siguientes autómatas : Universidd Rey Jun Crlos Curso 27 28 Teorí de Autómts y Lengujes Formles Ingenierí Técnic en Informátic de Sistems Hoj de Prolems 4 Autómts Finitos Determinists Nivel del ejercicio : ( ) ásico, ( ) medio,

Más detalles

EJERCICIOS DE CINEMÁTICA PARA REPASAR

EJERCICIOS DE CINEMÁTICA PARA REPASAR EJERCICIOS DE CINEMÁTICA PARA REPASAR 1. L poición de un óvil, que igue un tryectori rectilíne, qued deterind por l ecución x = 5 + t, en l que tod l gnitude etán expred en el S.I. ) Arrnc el óvil dede

Más detalles

PRUEBA DE ENTRADA. 1. Complete el diagrama de tiempos del circuito mostrado (dibuje la salida Q ): (2 ptos.) clock. clrn

PRUEBA DE ENTRADA. 1. Complete el diagrama de tiempos del circuito mostrado (dibuje la salida Q ): (2 ptos.) clock. clrn PONTIFICIA UNIVEIA CATÓLICA EL PEÚ ETUIO GENEALE CIENCIA ANIEL LLAMOCCA PUEBA E ENTAA CÓIGO : LAB. Nº: 5 HOAIO: H-44. Complete el digrm de tiempos del circuito mostrdo (diuje l slid ): (2 ptos.) E E 2.

Más detalles

PROBLEMA RESUELTO DE ESTABILIDAD

PROBLEMA RESUELTO DE ESTABILIDAD Univeridd Ncionl de Rorio Fcultd de Cienci Exct Ingenierí y Agrimenur Ecuel de Ingenierí Electrónic Deprtmento de Electrónic ELECTRÓNICA III PROBLEMA RESUELTO DE ESTABILIDAD AUTOR: Federico Miyr REVISIÓN:

Más detalles

Teoría de Sistemas y Señales

Teoría de Sistemas y Señales Teorí de Sitem y Señle Criterio lgerio de etilidd Criterio de Routh Autor Dr. Jun Crlo Gómez Criterio Algerio de Etilidd pr SE en TC Promo que l ondiión neeri y ufiiente pr que un SE en TC repreentdo por

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

= = = 13.7 = 12.8 = = (Regla de la cadena)

= = = 13.7 = 12.8 = = (Regla de la cadena) i f(z), l derivd dey de f(x) con repecto e define como 2. h donde AZ. derivd tmbién e deign por (x). El proceo eguido pr hllr e llm diferencición. AZ En iguiente on funcione de b, c, contnte [con retriccione

Más detalles

Tema 9: Memorias y Dispositivos programables

Tema 9: Memorias y Dispositivos programables Tem 9: Memoris Dispositivos prormles Prolem. Implemente l siuiente unción multislid hciendo uso de un. F = Σ(,,,7,9,,5) G = Π(,,,5,6,,) H = (X + X). (X + X + X) Prolem. Diseñe l unción multislid hciendo

Más detalles

3. FUNCIONES VECTORIALES DE UNA VARIABLE REAL

3. FUNCIONES VECTORIALES DE UNA VARIABLE REAL 3. FUNCIONES VECTORIALES DE UNA VARIABLE REAL INDICE 3.1. Definición de función vectoril de un vrile rel, dominio y grficción.2 3.2. Límites y continuidd..3 3.3. Derivción de funciones vectoriles y sus

Más detalles

ECUACIÓN DE BERNOULLI

ECUACIÓN DE BERNOULLI ECUACIÓN DE BERNOULLI 1. RESUMEN Ete lbortorio trt obre l comprobción de l ecución de Bernoulli. Aquí e intent comprobr l relción que exite entre l velocidd (cbez dinámic), l cbez (cbez etátic) y l cbez

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

La Elipse. B( 0, b ) P( x, y ) a b. B'( 0, -b ) PF' PF VV ' (x + c) + y = 2a (x c) + y elevando al cuadrado (x + c) + y = 2a (x c) + y

La Elipse. B( 0, b ) P( x, y ) a b. B'( 0, -b ) PF' PF VV ' (x + c) + y = 2a (x c) + y elevando al cuadrado (x + c) + y = 2a (x c) + y L Elipse Regresr Wikispces L elipse es el conjunto de todos los puntos P de un plno, tles que l sum de ls distncis de culquier punto dos puntos fijos del plno es constnte y su ecución se llm ecución ordinri.

Más detalles

RESUMEN 01 NÚMEROS. Nombre : Curso. Profesor :

RESUMEN 01 NÚMEROS. Nombre : Curso. Profesor : RESUMEN 01 NÚMEROS Nomre : Curso : Profesor : PÁGINA 1 Números Los elementos del conjunto N = {1, 2, 3, 4, 5, } se denominn Números Nturles. Los Números Crdinles corresponden l unión del conjunto de los

Más detalles

Existe un subconjunto de R, denotado R + Y cuyos elementos son llamados números reales positivos, que satisface los siguientes axiomas:

Existe un subconjunto de R, denotado R + Y cuyos elementos son llamados números reales positivos, que satisface los siguientes axiomas: División: Pr, E R, * O, -;-, ḇ o /. (que se lee " dividido " o " sore ") denot l número.( - 1). Not: -;- no está definido cundo = O. ORDEN ENR Existe un suconjunto de R, denotdo R + Y cuyos elementos son

Más detalles

1. Indicar el lenguaje aceptado por los siguientes autómatas :

1. Indicar el lenguaje aceptado por los siguientes autómatas : Universidd Rey Jun Crlos Grdo en Ingenierí de Computdores Máquins Secuenciles, Autómts y Lengujes Hoj de Prolems: Autómts Finitos Determinists Nivel del ejercicio : ( ) ásico, ( ) medio, ( ) vnzdo.. Indicr

Más detalles

SECCIÓN 3 DESCRIPCIÓN DE LOS NÚMEROS REALES

SECCIÓN 3 DESCRIPCIÓN DE LOS NÚMEROS REALES SEMANA I I I Números Positivos y Negtivos Representción gráfic: SECCIÓN DESCRIPCIÓN DE LOS NÚMEROS REALES -5-4 - - - 0 4 5 Sentido izquierdo Sentido derecho El cero represent l usenci de l cntidd, y es

Más detalles

Titulación de ácido fuerte-base fuerte

Titulación de ácido fuerte-base fuerte Químic Anlític (9123) urv de titulcción y cp. buffer SUBTEMA 3 1 Titulción de ácido fuertebe fuerte En olución cuo, lo ácido y l be fuerte e encuentrn totlmente diocido. Por lo tnto, el ph lo lrgo de l

Más detalles

UNIVERSIDADES PÚBLICAS DE LA COMUNIDAD DE MADRID PRUEBA DE ACCESO A LAS ENSEÑANZAS UNIVERSITARIAS OFICIALES DE GRADO

UNIVERSIDADES PÚBLICAS DE LA COMUNIDAD DE MADRID PRUEBA DE ACCESO A LAS ENSEÑANZAS UNIVERSITARIAS OFICIALES DE GRADO UNIVERSIDADES PÚBLICAS DE LA COMUNIDAD DE MADRID PRUEBA DE ACCESO A LAS ENSEÑANZAS UNIVERSITARIAS OFICIALES DE GRADO MODELO Curso / MATERIA MATEMATICAS II INSTRUCCIONES GENERALES Y VALORACIÓN El lumno

Más detalles

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO ENTIDAD: ARQUITECTURA: ENTITY IS PORT : : END ; = IN / OUT / IN OUT ARCHITECTURE

Más detalles

PROBLEMAS DE MOTORES SINCRÓNICOS. Asignatura : Conversión Electromecánica de la Energía Fecha : Agosto-2003 Autor : Ricardo Leal Reyes

PROBLEMAS DE MOTORES SINCRÓNICOS. Asignatura : Conversión Electromecánica de la Energía Fecha : Agosto-2003 Autor : Ricardo Leal Reyes ROMA D MOTOR NRÓNO Aigntur : onverión lectromecánic de l nergí ech : Agoto-200 Autor : Ricrdo el Reye 1. Un motor incrónico trifáico de polo cilíndrico, conectdo en etrell 172 volt entre líne, r 0, 10

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

PRÁCTICAS DE REGULACIÓN AUTOMÁTICA

PRÁCTICAS DE REGULACIÓN AUTOMÁTICA PRÁCTICAS DE REGULACIÓN AUTOMÁTICA RAMÓN PIEDRAFITA MORENO INGENIERÍA DE SISTEMAS Y AUTOMÁTICA EDITORIAL KRONOS Rmón Piedrfit Moreno ª Edición: Septiembre de 999 ISBN 84-8850-8-8 Depóito Legl Z-343-99

Más detalles

IES Fernando de Herrera 23 de octubre de 2013 Primer trimestre - Primer examen 4º ESO NOMBRE:

IES Fernando de Herrera 23 de octubre de 2013 Primer trimestre - Primer examen 4º ESO NOMBRE: IES Fernndo de Herrer de octure de 0 Primer trimestre - Primer exmen 4º ESO NOMBRE: ) Nomrr los principles conjuntos numéricos, explicitndo cuáles son sus elementos y ls relciones de inclusión entre ellos

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

MATE 3013 LA FUNCIÓN DERIVADA

MATE 3013 LA FUNCIÓN DERIVADA MATE 3013 LA FUNCIÓN DERIVADA Se quiere hllr l rect tngente l curv en el punto ( ; f()) = f() 8 Se tom un punto rbitrrio ( ; f()) se trz l rect secnte que ps por esos dos puntos (; f()) (; f()) 8 Cuál

Más detalles

TEMA 8. Circuitos Secuenciales de Propósito General

TEMA 8. Circuitos Secuenciales de Propósito General Fundamentos de los Computadores. Circuitos Secuenciales de Propósito General T8-1 TEM 8. Circuitos Secuenciales de Propósito General INICE: REGISTROS E ESPLZMIENTO o CRG SERIE Y PRLEL o UNIVERSL ISEÑO

Más detalles

Movimiento oscilatorio Movimiento armónico simple (MAS) Cinemática

Movimiento oscilatorio Movimiento armónico simple (MAS) Cinemática Moiiento ociltorio Moiiento rónico iple (MAS) Cineátic IES L Mgdlen. Ailé. Aturi Se dice que un prtícul ocil cundo tiene un oiiento de ién repecto de u poición de equilibrio, de for tl que el oiiento e

Más detalles

Índice de Volatilidad México

Índice de Volatilidad México Índice de Voltilidd México Actulizdo: México D.F. 6 de junio del 04 Definición del VIMEX El VIMEX es un indicdor que mide l voltilidd esperd en el corto plzo (90 dís nturles) pr el Mercdo ccionrio mexicno

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

Tema 3 La elasticidad y sus aplicaciones Relación elasticidad-precio y gasto en la curva de demanda lineal

Tema 3 La elasticidad y sus aplicaciones Relación elasticidad-precio y gasto en la curva de demanda lineal Introducción l Teorí Económic Crmen olores Álvrez Alelo Miguel Becerr omínguez Ros Mrí Cáceres Alvrdo Mrí del ilr Osorno del Rosl Olg Mrí Rodríguez Rodríguez http://it.ly/8l8u Tem 3 L elsticidd y sus plicciones

Más detalles

Tema 3. Modelado de sistemas físicos

Tema 3. Modelado de sistemas físicos de Sitem y Automátic Tem 3. Modeldo de item fíico Automátic º Curo del Grdo en Ingenierí en Tecnologí Indutril de Sitem y Automátic Contenido Tem 3.- Modeldo de item fíico 3.. Introducción. 3.. Modeldo

Más detalles

Sentencias. Contenidos. Secuencia versus Concurrente Sentencias Secuenciales Sentencias Concurrentes Subprogramas. cr.uclm.

Sentencias. Contenidos. Secuencia versus Concurrente Sentencias Secuenciales Sentencias Concurrentes Subprogramas. cr.uclm. A C B D Sentencias! " # $ frincon@inf cr.uclm.es% & ' ( ) *+, + ) ' -. / 0 / 1 2 3 4 ) + - / 2 ' 0 5 0, ) 6-7 ) 4 ' * 2 ' / 8 9 : 2 ' 3 ; + *, - (arco.inf cr.uclm.es) < 9 * ' 0 * / - / / 1-0 + * 6 6 -

Más detalles

N I Plegado de planos. Septiembre de 1999 EDICION: 1ª NORMA IBERDROLA

N I Plegado de planos. Septiembre de 1999 EDICION: 1ª NORMA IBERDROLA N I 00.02.52 Septiembre de 1999 EDICION: 1ª NORMA IBERDROLA Plegdo de plnos DESCRIPTORES: Plegdo de plnos. N O R M A N I 00.02.52 Septiembre de 1999 EDICION: 1ª I B E R D R O L A Plegdo de plnos Indice

Más detalles

El conjunto de los números reales se forma mediante la unión del conjunto de los números racionales y el conjunto de los números irracionales.

El conjunto de los números reales se forma mediante la unión del conjunto de los números racionales y el conjunto de los números irracionales. El conjunto de los números reles (R) El conjunto de los números reles se form medinte l unión del conjunto de los números rcionles y el conjunto de los números irrcionles. Propieddes del conjunto R R =

Más detalles

3 de marzo de 2011 DSIC - UPV. Tema 5: Expresiones Regulares. U.D. Computación. Definiciones. Propiedades. Construcciones. AFs a partir de ERs

3 de marzo de 2011 DSIC - UPV. Tema 5: Expresiones Regulares. U.D. Computación. Definiciones. Propiedades. Construcciones. AFs a partir de ERs UD AFs Lem de UD DSIC - UPV 3 de mrzo de 2011 UD (DSIC - UPV) 3 de mrzo de 2011 1 / 40 Índice UD AFs Lem de sore expresiones regulres utómts finitos utómts finitos UD (DSIC - UPV) 3 de mrzo de 2011 2 /

Más detalles

PSU Matemática NM-4 Guía 22: Congruencia de Triángulos

PSU Matemática NM-4 Guía 22: Congruencia de Triángulos Centro Educcionl Sn Crlos de Argón. Dpto. Mtemátic. Nivel: NM 4 Prof. Ximen Gllegos H. PSU Mtemátic NM-4 Guí : Congruenci de Triángulos Nombre: Curso: Fech: - Contenido: Congruenci. Aprendizje Esperdo:

Más detalles

UNIVERSIDAD DE LOS ANDES T R U J I L L O - V E N E Z U E L A LABORATORIO DE FISICA I/11. PRACTICA Nro. 8 MASA INERCIAL Y GRAVITATORIA.

UNIVERSIDAD DE LOS ANDES T R U J I L L O - V E N E Z U E L A LABORATORIO DE FISICA I/11. PRACTICA Nro. 8 MASA INERCIAL Y GRAVITATORIA. Págin 1 de 5 NÚCLEO UNIVERSITARIO RAFAEL RANGEL UNIVERSIDAD DE LOS ANDES T R U J I L L O - V E N E Z U E L A ÁREA DE FÍSICA LABORATORIO DE FÍSICA LABORATORIO DE FISICA I/11 PRACTICA Nro. 8 MASA INERCIAL

Más detalles

SEPTIEMBRE " ( él representa el producto vectorial)? En caso afirmativo, justifíquese. En caso contrario, póngase un ejemplo que lo confirme.

SEPTIEMBRE  ( él representa el producto vectorial)? En caso afirmativo, justifíquese. En caso contrario, póngase un ejemplo que lo confirme. SEPTIEMBRE 99 OPCIÓN A EJERCICIO. Otener ls mtrices A y B tles que cumplen ls siguientes condiciones: B A B A Se trt de un sistem de ecuciones mtriciles, que se puede resolver por culquier método. Pr este

Más detalles

8. Multiplexores Digitales

8. Multiplexores Digitales 8. Multiplexores Digitales El multiplexor permite seleccinar del total de líneas de entrada una única y trasladar la información que conlleva dicha línea a la salida del circuito. Suele utilizarse para

Más detalles

FÍSICA I CAPÍTULO 6: CINEMÁTICA III

FÍSICA I CAPÍTULO 6: CINEMÁTICA III FÍSICA I CAPÍTULO 6: CINEMÁTICA III ROTACIÓN DE CUERPOS RÍGIDOS Retomndo el moimiento cicul de un punto: L Figu epeent l dieccione de lo ectoe elocidd y celeción en io punto p un ptícul que e muee en un

Más detalles

Clase Auxiliar 5. Aútomatas Finitos Determinísticos (Diagramas de Estado)

Clase Auxiliar 5. Aútomatas Finitos Determinísticos (Diagramas de Estado) CC2A Computción II Auxilir 5 Iván Bustmnte Clse Auxilir 5 Aútomts Finitos Determinísticos (Digrms de Estdo) Un utómt finito determinístico es un modelo de un sistem que tiene un cntidd finit de estdos

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

1. EXPRESIONES ALGEBRAICAS. CLASIFICACIÓN

1. EXPRESIONES ALGEBRAICAS. CLASIFICACIÓN http://www.cepmrm.es ACFGS - Mtemátics ESG - /0 Pág. de Polinomios: Teorí ejercicios. EXPRESIONES ALGEBRAICAS. CLASIFICACIÓN Tnto en mtemátics, como en físic, en economí, en químic,... es corriente el

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

Estructuras de datos. Estructuras de datos. Estructuras de datos. Estructuras de datos

Estructuras de datos. Estructuras de datos. Estructuras de datos. Estructuras de datos En l práctic de l progrmción de computdors existen muchos motivos pr optimizr el uso de los recursos, llmese tiempo de procesmiento o espcio de lmcenmiento. El uso de l memori dinámic permite contr con

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

ANEXO VIII CONTRATO COLECTIVO REGLAMENTO BECAS SINDICATO NACIONAL SCOTIABANK SUD AMERICANO (Actualización año 2006)

ANEXO VIII CONTRATO COLECTIVO REGLAMENTO BECAS SINDICATO NACIONAL SCOTIABANK SUD AMERICANO (Actualización año 2006) ANEXO VIII CONTRATO COLECTIVO REGLAMENTO BECAS SINDICATO NACIONAL SCOTIABANK SUD AMERICANO (Actulizción ño 2006) Artículo Primero Se etblece un item de bec pr yudr l finncimiento de lo etudio en Univeridde,

Más detalles

Unidad 1: Números reales.

Unidad 1: Números reales. Unidd 1: Números reles. 1 Unidd 1: Números reles. 1.- Números rcionles e irrcionles Números rcionles: Son quellos que se pueden escriir como un frcción. 1. Números enteros 2. Números decimles exctos y

Más detalles

AUTOMATAS FINITOS CIENCIAS DE LA COMPUTACION I 2009

AUTOMATAS FINITOS CIENCIAS DE LA COMPUTACION I 2009 AUTOMATAS FINITOS Un utómt finito es un modelo mtemático de un máquin que cept cdens de un lenguje definido sore un lfeto A. Consiste en un conjunto finito de estdos y un conjunto de trnsiciones entre

Más detalles

Movimiento oscilatorio Movimiento armónico simple (MAS) Cinemática

Movimiento oscilatorio Movimiento armónico simple (MAS) Cinemática Moviiento ociltorio Moviiento rónico iple (MAS) Cineátic IES L Mgdlen. Avilé. Aturi Se dice que un prtícul ocil cundo tiene un oviiento de vivén repecto de u poición de equilibrio, de for tl que el oviiento

Más detalles

4º ESO ACADÉMICAS NÚMEROS REALES DEPARTAMENTO DE MATEMÁTICAS. SAGRADO CORAZÓN COPIRRAI_Julio César Abad Martínez-Losa NÚMEROS REALES

4º ESO ACADÉMICAS NÚMEROS REALES DEPARTAMENTO DE MATEMÁTICAS. SAGRADO CORAZÓN COPIRRAI_Julio César Abad Martínez-Losa NÚMEROS REALES º ESO ACADÉMICAS NÚMEROS REALES DEPARTAMENTO DE MATEMÁTICAS. NÚMEROS REALES.- Escrie un número que cumpl: ) Pertenece N y I. ) Pertenece R pero no Q. c) No pertenece R. d) Pertenece Q pero no N. ) IMPOSIBLE

Más detalles

Ingeniero Físico, MSc. en Física Esteban González Valencia Marzo de 2014

Ingeniero Físico, MSc. en Física Esteban González Valencia Marzo de 2014 UNIVERSIDAD NACIONAL DE COLOMBIA SEDE MEDELLÍN FACULTAD DE CIENCIAS-ESCUELA DE FÍSICA MAESTRÍA EN ENSEÑANZA DE LAS CIENCIAS EXACTAS Y NATURALES CURSO: ENSEÑANZA DE LA FÍSICA MECÁNICA- ANÁLISIS DE LAS SEÑALES

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

entrada DPST-NA Ninguno 2 canales Auto-reset Inversa 24 Vc.a./Vc.c. G9SB-2002-A 4 1 canal ó 2

entrada DPST-NA Ninguno 2 canales Auto-reset Inversa 24 Vc.a./Vc.c. G9SB-2002-A 4 1 canal ó 2 MÓDULO DE RELÉ DE SEGURIDAD Módulo de relé de seguridd de diseño ultrdelgdo Modeloscon2ó3polosenunnchode17.5 mm. Disponibles modelos de nchur 22.5 mm con3polos. Homologciones EN pendiente (probdo TÜV).

Más detalles

103.- Cuándo un contrato de arrendamiento puede considerarse de tipo financiero?

103.- Cuándo un contrato de arrendamiento puede considerarse de tipo financiero? 103.- Cuándo un contrto pue consirrse tipo finnciero? Autor: Gregorio Lbtut Serer. Universidd Vlenci. Según el PGC Pymes, y el nuevo PGC, un contrto se clificrá como finnciero, cundo ls condiciones económics

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-27 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Sequential Logic Described in VHDL - A Design Example ARTECHE DIAZ Raul Center of

Más detalles

TEMA 3: PROPORCIONALIDAD Y PORCENTAJES.

TEMA 3: PROPORCIONALIDAD Y PORCENTAJES. TEM : PROPORCIONLIDD Y PORCENTJES.. Conceptos de Rzón y Proporción. Se define l RZÓN entre dos números como l frcción que se form con ellos. Es decir l rzón entre y es:, con 0. De quí que ls frcciones

Más detalles

Tema 2 Descripción de VHDL (2/2)

Tema 2 Descripción de VHDL (2/2) TECNOLOGÍA DE COMPUTADORES Tema 2 Descripción de VHDL (2/2) Agustín Álvarez Marquina Tipos de sentencias en VHDL Sentencias concurrentes PROCESS Asignación de señal BLOCK Llamadas a procedimientos Llamadas

Más detalles

EL EXPERIMENTO FACTORIAL

EL EXPERIMENTO FACTORIAL DISEÑO DE EXPERIMENTOS NOTAS DE CLASE: SEPTIEMBRE 2 DE 2008 EL EXPERIMENTO FACTORIAL Se utiliz cundo se quiere nlizr el efecto de dos o más fuentes de interés (fctores). Permite nlizr los efectos de ls

Más detalles

departamento de electricidad y electrónica elektrika eta elektronika saila

departamento de electricidad y electrónica elektrika eta elektronika saila ALGORITMOS Y ESTRUCTURAS DE DATOS Convoctori de junio Curso 2000/2001 Soluciones propuests 1. (1 punto) L complejidd temporl de un cierto lgoritmo, en términos del tmño del prolem n, viene dd por l siguiente

Más detalles

3.5.1 Trasformada de Laplace de la función escalón unitario

3.5.1 Trasformada de Laplace de la función escalón unitario .5. Trformd de Lplce de l función eclón unirio 0.5. Trformd de Lplce de l función eclón unirio Función Eclón Unirio Tmbién llmd función lo unidd de Heviide, y con frecuenci e uiliz en pliccione que rn

Más detalles

Cómo crear cuestionarios? IUED. Guía para la virtualización de cursos de posgrado

Cómo crear cuestionarios? IUED. Guía para la virtualización de cursos de posgrado Cómo crer cuestionrios? IUED. Guí pr virtulizción cursos posgrdo IUED. Instituto Universitrio Educción Distnci Migu Sntmrí Lncho IUED. Instituto Universitrio Educción Distnci Con Con este este guí guí

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Celia López, Luis Entrena, Mario García, Enrique

Más detalles

FUNCIONES ARITMÉTICO-LÓGICAS

FUNCIONES ARITMÉTICO-LÓGICAS FUNCIONES ARITMÉTICO-ÓGICAS Funciones Aritmético-ógics Representción de números en inrio Binrio puro Mgnitud + signo Complemento 1 Complemento 2 Codificdor de mgnitud + signo Complemento 1 2. Sumdores

Más detalles

Inecuaciones con valor absoluto

Inecuaciones con valor absoluto Inecuciones con vlor soluto El vlor soluto de un número rel se denot por y está definido por:, si 0 si 0 Propieddes Si y son números reles y n es un número entero, entonces: 1.. 3. n 4. n L noción de vlor

Más detalles

CUESTIONES RESUELTAS

CUESTIONES RESUELTAS CUETIONE EUELTA ) Cuál es l principl diferenci entre un circuito de control nlógico y otro digitl? ) Indicr y justificr l principl ventj de uno frente otro. (electividd ndluz). Un circuito nlógico funcion

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Tema 2. Funciones Lógicas Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Representación de Circuitos Digitales Representación esquemática. Representación

Más detalles

ELECTRÓNICA DIGITAL TEMA 2

ELECTRÓNICA DIGITAL TEMA 2 Escuels Técnics de Ingenieros Universidd de Vigo Deprtmento de Tecnologí Electrónic Electrónic Digitl: Álger de Boole ELECTRÓNICA DIGITAL TEMA 2 ÁLGEBRA LOGICA Enrique Mnddo Pérez Escuels Técnics de Ingenieros

Más detalles

73 ESO. E = m c 2. «El que pregunta lo que no sabe es ignorante un. día. El que no lo pregunta será ignorante toda la vida»

73 ESO. E = m c 2. «El que pregunta lo que no sabe es ignorante un. día. El que no lo pregunta será ignorante toda la vida» 73 ESO dí. «El que pregunt lo que no se es ignornte un El que no lo pregunt será ignornte tod l vid» E = m c ÍNDICE: MENSAJES OCULTOS 1. EXPRESIONES ALGEBRAICAS. VALOR NUMÉRICO DE UNA EXPRESIÓN ALGEBRAICA

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

G9SB. Módulo de relé de seguridad de diseño ultradelgado. Módulo de relé de seguridad. Modelos disponibles. Composición de la referencia

G9SB. Módulo de relé de seguridad de diseño ultradelgado. Módulo de relé de seguridad. Modelos disponibles. Composición de la referencia Módulo de relé de seguridd Módulo de relé de seguridd de diseño ultrdelgdo Modelos con 2 ó 3 polos en un ncho de 17,5 mm. Disponibles modelos de nchur 22,5 mm con 3 polos. Cumple los estándres EN. (Aprobción

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

6 La transformada de Laplace

6 La transformada de Laplace CAPÍTULO 6 L trnformd de Lplce 6.4.3 Segund propiedd de trlción Et propiedd permitirá reolver ecucione diferencile donde prezcn funcione dicontinu. Pr entenderl e conveniente introducir un función con

Más detalles

3. El logaritmo de una potencia cuya base es igual a la base del logaritmo es igual al exponente de la potencia: Log a a m = m, ya que a m =a m

3. El logaritmo de una potencia cuya base es igual a la base del logaritmo es igual al exponente de la potencia: Log a a m = m, ya que a m =a m LOGARITMOS Ddo un número rel positivo, no nulo y distinto de 1, ( > 0; 0; 1), y un número n positivo y no nulo (n > 0;n 0), se llm ritmo en bse de n l exponente x l que hy que elevr dich bse pr obtener

Más detalles

Laboratorio de Arquitectura de Computadoras

Laboratorio de Arquitectura de Computadoras Laboratorio de Arquitectura de Computadoras Estándar IEEE 1164 de VHDL y ALU TTL-74381 Oscar Alvarado Nava oan@azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad

Más detalles

PROBLEMAS DE GENERADORES SINCRÓNICOS. Asignatura : Conversión Electromecánica de la Energía. Fecha : Agosto Autor : Ricardo Leal Reyes.

PROBLEMAS DE GENERADORES SINCRÓNICOS. Asignatura : Conversión Electromecánica de la Energía. Fecha : Agosto Autor : Ricardo Leal Reyes. ROBLMA D GNRADOR NCRÓNCO. Aigntur : Converión lectromecánic de l nergí. ech : Agoto200. Autor : Ricrdo Lel Reye. 1. Un generdor incrónico de 6 polo conectdo en etrell, de 480 (), 60 (Hz), 1 (Ω/fe), 60

Más detalles

AUTÓMATAS DE PILA. Dpto. de Informática (ATC, CCIA y LSI). Univiersidad de Valladolid.

AUTÓMATAS DE PILA. Dpto. de Informática (ATC, CCIA y LSI). Univiersidad de Valladolid. Dpto. de Informátic (ATC, CCIA y SI). Univiersidd de Vlldolid. TEORÍA DE AUTÓMATAS Y ENGUAJES FORMAES II Ingenierí Técnic en Informátic de Sistems. Curso 20-2 AUTÓMATAS DE PIA. Dd l siguiente grmátic independiente

Más detalles

Laboratorio de Arquitectura de Computadoras

Laboratorio de Arquitectura de Computadoras Laboratorio de Arquitectura de Computadoras Estándar IEEE 1164 de VHDL Oscar Alvarado Nava oan@azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana,

Más detalles

EXPRESIONES ALGEBRAICAS: MONOMIOS Y POLINOMIOS

EXPRESIONES ALGEBRAICAS: MONOMIOS Y POLINOMIOS EXPRESIONES LGERIS: MONOMIOS Y POLINOMIOS EXPRESIÓN LGERI.- Un epresión lgeric es culquier cominción de números letrs unidos por ls operciones ritmétics (sum, rest, multiplicción, división, potenci, (o)

Más detalles

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales.

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales. Código Concurrente Código Concurrente El código VHDL puede ser concurrente o secuencial. Únicamente las sentencias colocadas dentro de un PROCESS, FUNCTION o PROCEDURE (los últimos dos son llamados subprogramas)

Más detalles

15 Lenguajes y gramáticas III

15 Lenguajes y gramáticas III 2 Contenido Derivciones Árbol de derivción Grmátics libres de contexto Ambigüedd en grmátics jemplo liminr mbigüedd Mp Conceptul 03 3 Derivciones Derivción por l izquierd: ls regls de reemplzo son plicds

Más detalles

Gestión de inventarios

Gestión de inventarios Gestión de inventrios José Mrí Ferrer Cj Universidd Pontifici Comills Introducción Inventrio (stock): Conjunto de bienes lmcendos pr su posterior uso Tipos de bienes del inventrio: Mteris prims en esper

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 8

Sistemas Electrónicos Digitales. PRACTICA nº 8 PRACTICA nº 8 Diseño de subsistemas aritméticos. Síntesis sobre dispositivos FPGA. Síntesis estructural a partir de la descripción VHDL a nivel RTL de la estructura. Síntesis a partir de la descripción

Más detalles

TABLA DE DISTRIBUCIÓN DE FRECUENCIAS

TABLA DE DISTRIBUCIÓN DE FRECUENCIAS TABLA DE DISTRIBUCIÓN DE FRECUENCIAS L.C. y Mtro. Frncisco Jvier Cruz Ariz L.C. y Mtro. Frncisco Jvier Cruz Ariz TABLA DE DISTRIBUCIÓN DE FRECUENCIAS Un mner de simplificr los dtos es usr un tbl de frecuenci

Más detalles