4. Aritmética y operadores

Tamaño: px
Comenzar la demostración a partir de la página:

Download "4. Aritmética y operadores"

Transcripción

1 4. Aritmética y operadores Fundamentos de Computadores Ingeniería de Telecomunicación Raúl Durán Díaz Departamento de Automática Escuela Politécnica Superior Curso académico Raúl Durán Díaz 4. Aritmética y operadores 1 / 58 Contenidos Raúl Durán Díaz 4. Aritmética y operadores 2 / 58

2 Aritmética básica con bits. y secuencial. Operadores hardware para realizar las operaciones: sumas, multiplicaciones y sus opuestas. Unidad aritmético-lógica. Raúl Durán Díaz 4. Aritmética y operadores 3 / 58 En dónde estamos Unidad de control Memoria Periféricos Unidades funcionales Figura: Bloques básicos de la máquina von Neumann Raúl Durán Díaz 4. Aritmética y operadores 4 / 58

3 Ejemplo Implementan ecuaciones booleanas. Los operadores booleanos son: operador y,. Usamos el producto,. operador o,. Usamos la suma, +. operador no,. Usamos una barra sobre la variable. Las salidas dependen de las entradas. y = x 1 + x 2 (x 3 + x 4 ) + x 5 Raúl Durán Díaz 4. Aritmética y operadores 5 / 58 Tablas de verdad Ejemplo Expresan la(s) salida(s) en función de la(s) entrada(s). La ecuación anterior para algunas entradas (hay 2 5 posibles): x 1 x 2 x 3 x 4 x 5 y Raúl Durán Díaz 4. Aritmética y operadores 6 / 58

4 Leyes de de Morgan Primera ley: Segunda ley: (x y) = x + y (x + y) = x y Break the line, change the sign. Raúl Durán Díaz 4. Aritmética y operadores 7 / 58 a b & c c = a b Figura: Puerta lógica AND Raúl Durán Díaz 4. Aritmética y operadores 8 / 58

5 a b c c = a + b Figura: Puerta lógica OR Raúl Durán Díaz 4. Aritmética y operadores 9 / 58 a b XOR c c = a + b Figura: Puerta lógica XOR Raúl Durán Díaz 4. Aritmética y operadores 10 / 58

6 a c c = a Figura: Puerta lógica NOT Raúl Durán Díaz 4. Aritmética y operadores 11 / 58 Implementación física con un transistor bipolar Figura: Inversor con transistor bipolar Raúl Durán Díaz 4. Aritmética y operadores 12 / 58

7 d a b 0 1 c c = (d == 0)?a:b; Figura: Multiplexor Raúl Durán Díaz 4. Aritmética y operadores 13 / 58 Otras puertas lógicas Existen también: puerta NAND; puerta NOR; puerta XOR; puerta XNOR. Todas se pueden construir con las tres básicas: AND, OR, NOT. Las puertas se caracterizan por su retardo en la respuesta, asociado a la tecnología con que están implementadas. Raúl Durán Díaz 4. Aritmética y operadores 14 / 58

8 Ejemplo de lógica combinacional 0 1 & Figura: Unidad lógica para AND y OR Raúl Durán Díaz 4. Aritmética y operadores 15 / 58 Las salidas dependen de las entradas y de entradas anteriores. Se trata de elementos con memoria. Introduciremos para ello el concepto de registro. Raúl Durán Díaz 4. Aritmética y operadores 16 / 58

9 Elemento secuencial B V S C V = B cuando C Figura: Elemento secuencial: registro de 1 bit Raúl Durán Díaz 4. Aritmética y operadores 17 / 58 Suma básica binaria Vamos a sumar (000111) 2 + (000110) 2. (0) (0) (1) (1) (0) (lo que me llevo ) Raúl Durán Díaz 4. Aritmética y operadores 18 / 58

10 Sumador de 1 bit A B C In C Out S Raúl Durán Díaz 4. Aritmética y operadores 19 / 58 Ecuaciones booleanas correspondientes Para la suma S: S = a b C In + a b C In + a b C In + a b C In. Para el acarreo de salida C Out : C Out = b C In + a C In + a b. Raúl Durán Díaz 4. Aritmética y operadores 20 / 58

11 Diseño hardware del sumador de 1 bit A i B i S i C i 1 C i Figura: Circuito para sumador de 1 bit Raúl Durán Díaz 4. Aritmética y operadores 21 / 58 Sumador con propagación de acarreo bn an b3 a3 b2 a2 b1 a1 b0 a0... sn s3 s2 s1 s0 Figura: Sumador con propagación de acarreo (de derecha a izquierda) Nota: Las señales verdes son la interfaz del operador con el exterior. Raúl Durán Díaz 4. Aritmética y operadores 22 / 58

12 Sumador/restador de 1 bit bn an S/R sn Figura: Sumador y restador elemental para 1 bit Raúl Durán Díaz 4. Aritmética y operadores 23 / 58 Sumador con anticipación de acarreo Recordemos que C Out = b C In + a C In + a b. Para nuestro sumador elemental, C In 2 = b1 C In 1 + a1 C In 1 + a1 b1. Raúl Durán Díaz 4. Aritmética y operadores 24 / 58

13 Sumador con anticipación de acarreo En general, escribiéndolo en forma más compacta: c i+1 = a i b i + c i (a i + b i ). Si itero la anterior fórmula, c i+2 = a i+1 b i+1 + c i+1 (a i+1 + b i+1 ) que, en función de c i, será: c i+2 = a i+1 b i+1 + (a i b i + c i (a i + b i )) (a i+1 + b i+1 ). Raúl Durán Díaz 4. Aritmética y operadores 25 / 58 Sumador con anticipación de acarreo Si definimos el propagador p i = a i + b i y el generador g i = a i b i, podemos escribir lo anterior y c i+1 = g i + p i c i. c i+2 = g i+1 + p i+1 (g i + c i p i ). con lo que se ve la relación de recurrencia. Raúl Durán Díaz 4. Aritmética y operadores 26 / 58

14 Sumador con anticipación de acarreo Supongamos que el sumador es de cuatro bits: c 1 = g 0 + p 0 c 0 c 2 = g 1 + p 1 g 0 + p 1 p 0 c 0 c 3 = g 2 + p 2 g 1 + p 2 p 1 g 0 + p 2 p 1 p 0 c 0 c 4 = g 3 + p 3 g 2 + p 3 p 2 g 1 + p 3 p 2 p 1 g 0 + p 3 p 2 p 1 p 0 c 0 Raúl Durán Díaz 4. Aritmética y operadores 27 / 58 Comparación de velocidades entre ambas versiones El sumador de propagación introduce un retardo de dos puertas por bit. Para n bits, tendremos un retardo máximo de n 2 retardos de puerta. Para el de anticipación, el retardo es independiente del número de bits, y vale 3 retardos de puerta. En la práctica, hay que introducir más niveles en este segundo, por problemas de fan-in en las puertas. Raúl Durán Díaz 4. Aritmética y operadores 28 / 58

15 Obtención del inverso para la suma Para obtener el inverso en complemento a 2, observemos que se cumple x + x + 1 = 0 (mód 2 w ), por lo que x = x + 1. Para obtener el inverso en complemento a 1, observemos que por lo que x = x. x + x = 0 (mód 2 w 1), Raúl Durán Díaz 4. Aritmética y operadores 29 / 58 Sumador en complemento a 2 a3 a2 a1 a0 b3 b2 b1 b0 S/R Co Ci s3 s2 s1 s0 Figura: Sumador y restador en complemento a 2 Raúl Durán Díaz 4. Aritmética y operadores 30 / 58

16 Repaso: conceptos de acarreo y desbordamiento Si en la suma binaria básico, el bit más significativo produce acarreo, decimos que ha habido acarreo. Si el resultado de una operación no cabe en la representación usada, hablamos de desbordamiento. Son conceptos relacionados, pero no idénticos. Raúl Durán Díaz 4. Aritmética y operadores 31 / 58 Desbordamiento en suma y resta Operación A B Desborda si... A + B 0 0 < 0 A + B < 0 < 0 0 A B 0 < 0 < 0 A B < Raúl Durán Díaz 4. Aritmética y operadores 32 / 58

17 Condición de desbordamiento en complemento a 2 El sumador actúa módulo 2 w, por lo que su resultado es correcto. El desbordamiento ocurre cuando el resultado no cabe en el rango de la representación. Cuando se suman (se restan) dos números de distinto (igual) signo, no puede ocurrir el desbordamiento. En caso contrario, sí puede ocurrir. La condición de desbordamiento D se expresa, entonces, así: D = a w 1 b w 1 s w 1 + a w 1 b w 1 s w 1. Raúl Durán Díaz 4. Aritmética y operadores 33 / 58 Sumador en complemento a 1 a3 a2 a1 a0 b3 b2 b1 b0 S/R Co Ci s3 s2 s1 s0 Figura: Sumador y restador en complemento a 1 Raúl Durán Díaz 4. Aritmética y operadores 34 / 58

18 Sumador en complemento a 1 La condición de desbordamiento D es igual que en el caso del complemento a 2, es decir, D = a w 1 b w 1 s w 1 + a w 1 b w 1 s w 1. Raúl Durán Díaz 4. Aritmética y operadores 35 / 58 Sumador para representación en exceso Recordemos que la transformación consiste en sumar un valor fijo (el exceso ), que suele ser Z = 2 w 1, de modo que n n + 2 w 1. La suma y la resta requieren correcciones: A A + Z B B + Z A + B A + B + 2Z, A B A B. Para la suma hay que restar Z y para la resta hay que sumar Z. Raúl Durán Díaz 4. Aritmética y operadores 36 / 58

19 Sumador para representación en exceso Recordemos que si el exceso es Z = 2 w 1, entonces podemos pasar de la representación en exceso a la de complemento a 2 y viceversa sin más que complementar el bit más significativo. Entonces podemos aprovechar el sumador ya existente para el complemento a 2 y utilizarlo para sumar la representación en exceso. Complementamos el bit más significativo para pasar a complemento a 2, sumamos, y complementamos el bit más significativo del resultado para volver a la representación en exceso. Raúl Durán Díaz 4. Aritmética y operadores 37 / 58 Multiplicación entera sin signo Seguimos, básicamente, el método manual. Obsérvese que el resultado ocupa el doble de bits que los operandos. Raúl Durán Díaz 4. Aritmética y operadores 38 / 58

20 Pasos de la multiplicación 1 Observar bit 0 en P 0. 2 Si es 1, sumar el multiplicando A con P 1 y almacenar en P 1. 3 Desplazar el registro un lugar a la derecha. 4 Si no hemos agotado los bits, volver al paso primero. Raúl Durán Díaz 4. Aritmética y operadores 39 / 58 Multiplicador sin signo A Bateria ANDs + B Pc P1 P0 L1 CLK L1 CLK L2 Producto parte alta Producto parte baja Figura: Multiplicador binario sin signo Raúl Durán Díaz 4. Aritmética y operadores 40 / 58

21 Cuadro ejemplo de multiplicación Multiplicar (1100) 2, en A, por (1010) 2, en P 0. reg. desp. P 1 P 0 op estado inicial suma desplazamiento suma desplazamiento suma desplazamiento suma desplazamiento Raúl Durán Díaz 4. Aritmética y operadores 41 / 58 Multiplicación con signo Lo más fácil puede ser Convertir los números a positivo. Realizar la multiplicación sin signo. Transformarlos según el signo que toque. Evidentemente, es lento. Raúl Durán Díaz 4. Aritmética y operadores 42 / 58

22 Multiplicación con signo: multiplicando negativo Se puede utilizar el método sin signo si sólo el multiplicando es negativo. El factor negativo se coloca en el multiplicando A, se realiza la operación de desplazamiento extendiendo el signo, y se obtiene el resultado correcto. Raúl Durán Díaz 4. Aritmética y operadores 43 / 58 Multiplicación con signo: multiplicador negativo Si el multiplicador B es negativo, colocamos A en el multiplicando y B en el multiplicador, realizamos la operación normalmente, corregimos el resultado, restándole 2 w A, que es tanto como sumarle 2 2w 2 w A. En efecto, observemos que para obtener A B, se tiene A A B 2 w B A (2 w B) + A B + 2 2w 2 w A 2 2w 2 w A = 2 2w AB. Observemos que se obtiene el resultado esperado. Raúl Durán Díaz 4. Aritmética y operadores 44 / 58

23 Multiplicación con signo: ambos negativos Si el multiplicando A y el multiplicador B son negativos, colocamos A en el multiplicando y B en el multiplicador, realizamos la operación normalmente, corregimos el resultado, sumándole 2 w (A + B). En efecto, observemos que para obtener A B, se tiene A 2 w A B 2 w B (2 w A) (2 w B) + A B + 2 w (A + B) 2 w (A + B) = 2 2w + AB. El término 2 2w es un acarreo (que despreciamos) y obtenemos el valor correcto AB. Raúl Durán Díaz 4. Aritmética y operadores 45 / 58 Algoritmo de Booth Permite multiplicar números con signo tanto en el multiplicando como en el multiplicador y siempre da resultados correctos. Se basa en sumas y restas (necesita un sumador/restador) y en desplazamiento aritméticos. Es un poco más complicado desde el punto de vista hardware. Raúl Durán Díaz 4. Aritmética y operadores 46 / 58

24 Pasos del algoritmo de Booth 1 Inicializar el producto parcial a 0. Hacer b a igual al bit menos significativo y b t = 0. 2 Examinar bit actual b a y bit anterior b t y en función de los valores de b a b t : 1 si b a b t = 10 : se resta el multiplicando de la parte alta del producto parcial. 2 si b a b t = 01 : se suma el multiplicando a la parte alta del producto parcial. 3 si b a b t = 11 o b a b t = 00 : no se hace nada. 3 Desplazar aritméticamente el producto parcial un bit hacia la derecha. 4 Si queda un bit a la izquierda de b a, actualizar b a con ese bit, actualizar b t con el antiguo b a y volver al paso anterior; en caso contrario, terminar. Raúl Durán Díaz 4. Aritmética y operadores 47 / 58 División entera Se realiza también, como la multiplicación, mediante sumas, restas y desplazamientos, imitando las operaciones manuales. Veremos dos algoritmos: con restauración, más sencillo, pero más lento; sin restauración, más rápido y complicado. Raúl Durán Díaz 4. Aritmética y operadores 48 / 58

25 División con restauración Se prueba un cociente a ver si cabe o no cabe. Si no cabe se baja la siguiente cifra. La operación de bajar una nueva cifra y probar de nuevo se llama restauración. El dividendo se coloca inicialmente en el registro Dividendo alto-dividendo bajo y el divisor en el registro Divisor. El registro Cociente se inicializa a 0. Raúl Durán Díaz 4. Aritmética y operadores 49 / 58 Cuadro ejemplo de división con restauración Raúl Durán Díaz 4. Aritmética y operadores 50 / 58

26 Diseño hardware para división con restauración Dividendo alto Resto (al final) Dividendo bajo Cociente 0 1 Signo Resta + Divisor Figura: Divisor con restauración Raúl Durán Díaz 4. Aritmética y operadores 51 / 58 División sin restauración Se prueba un cociente a ver si cabe o no cabe. Si no cabe se baja la siguiente cifra. En vez de restaurar, se suma de nuevo el divisor, lo cual equivale a una restauración. El dividendo se coloca inicialmente en el registro Dividendo alto-dividendo bajo y el divisor en el registro Divisor. El registro Cociente se inicializa a 0. Raúl Durán Díaz 4. Aritmética y operadores 52 / 58

27 Cuadro ejemplo de división sin restauración Raúl Durán Díaz 4. Aritmética y operadores 53 / 58 Diseño hardware para división sin restauración Dividendo alto Resto (al final) Dividendo bajo Cociente Signo Suma/Resta + Divisor Figura: Divisor sin restauración Raúl Durán Díaz 4. Aritmética y operadores 54 / 58

28 División con signo Para dividir con signo, recordamos los signos de dividendo y divisor y cambiamos el signo del cociente si aquellos difieren. El signo del resto debe ser el mismo que el del dividendo. Raúl Durán Díaz 4. Aritmética y operadores 55 / 58 Suma en coma flotante Operador de suma Operador de multiplicación Los pasos para sumar o restar dos operandos en coma flotante son: 1 Seleccionar el operando con exponente más bajo y correr la coma de la mantisa tantas posiciones como sea necesario para alinearla con la de de exponente más alto. 2 Suma o resta de mantisas alineadas. 3 Si el resultado no está normalizado, llevar la coma a su lugar y ajustar el exponente adecuadamente. Comprobar si hay desbordamiento por arriba o por abajo. 4 Aplicar el redondeo si el número de bits significativos de la mantisa es excesivo. 5 Normalizar de nuevo, si es necesario. NB: Generalmente dentro de la ALU se emplean más bits que los almacenados en memoria, para tratar de compensar las pérdidas de precisión. Raúl Durán Díaz 4. Aritmética y operadores 56 / 58

29 Multiplicación en coma flotante Operador de suma Operador de multiplicación Los pasos para multiplicar dos operandos en coma flotante son: 1 Sumar los exponentes de los operandos, ajustando el exceso. 2 Multiplicar las mantisas. 3 Normalizar el resultado si es necesario. Comprobar desbordamiento, por arriba o por abajo. 4 Aplicar redondeo si el número de bits significativos de la mantisa es excesivo. 5 Normalizar de nuevo, si se requiere. 6 Ajustar los signos: positivo si los signos de los operandos eran iguales; negativo, en caso contrario. Raúl Durán Díaz 4. Aritmética y operadores 57 / 58 Unidad de aritmética y lógica integrada A B Estado Operacion Estado Resultados Figura: Estructura de la ALU integrada Raúl Durán Díaz 4. Aritmética y operadores 58 / 58

4. Aritmética y operadores

4. Aritmética y operadores Fundamentos de Computadores Ingeniería de Telecomunicación Departamento de Automática Escuela Politécnica Superior Curso académico 2009 2010 Contenidos 1 Aritmética y lógica 2 3 4 Introducción Aritmética

Más detalles

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ ARQUITETURAS DE OMUTADORES 2º URSO INGENIERÍA TÉNIA EN INFORMÁTIA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTIO- LÓGIA JOSÉ GARÍA RODRÍGUEZ JOSÉ ANTONIO SERRA ÉREZ Tema 3. La Unidad entral de roceso. A.L.U. 1 La

Más detalles

TEMA III: OPERACIONES CON LOS DATOS

TEMA III: OPERACIONES CON LOS DATOS CUESTIONES A TRATAR: Cual es la función de la unidad operativa? Es necesaria? Qué tipos de circuitos implementan la unidad operativa? Unidad operativa frente a ALU Qué es una operación de múltiple precisión?

Más detalles

Circuitos electrónicos digitales

Circuitos electrónicos digitales Circuitos electrónicos digitales Universidad de Sevilla Tema 6 Unidades aritméticas y lógicas Índice Introducción Aritmética binaria Circuitos sumadores básicos Sumador de n bits Sumador/Restador Unidad

Más detalles

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos electrónicos digitales Unidades Aritméticas Lógicas Índice Introducción Circuitos sumadores básicos Sumador paralelo de n bits Sumador/Restador Unidad aritmético-lógica (ALU) Introducción Los

Más detalles

TEMA 6 UNIDAD ARITMÉTICO LÓGICA

TEMA 6 UNIDAD ARITMÉTICO LÓGICA FUNDMENTOS DE TEM 6 UNIDD RITMÉTICO LÓGIC. OPERDORES LÓGICOS. 2. PROPIEDDES DE L UL. 3. OPERDORES DE DESPLZMIENTO. Desplazamientos lógicos. Desplazamientos circulares. Desplazamientos aritméticos. 4. OPERCIONES

Más detalles

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos Fundamentos de Computadores Tema 5 Circuitos Aritméticos OBJETIVOS Conceptuales: Suma y resta binaria Implementaciones hardware/software Circuito sumador y semi-sumador básico Sumadores/restadores de n

Más detalles

Tema 3. La Unidad Aritmético- Lógica

Tema 3. La Unidad Aritmético- Lógica Soluciones a los problemas impares Tema 3. La Unidad Aritmético- Lógica Arquitectura de Computadores I Curso 2009-2010 Tema 3: Hoja: 2 / 26 Tema 3: Hoja: 3 / 26 Base teórica Al diseñar un computador, uno

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

LECCIÓN 1. CIRCUITOS ARITMÉTICOS DE SUMA Y RESTA DE ENTEROS

LECCIÓN 1. CIRCUITOS ARITMÉTICOS DE SUMA Y RESTA DE ENTEROS LA UNIDAD ARITMÉTICA Y LÓGICA LECCIÓN 1. CIRCUITOS ARITMÉTICOS DE SUMA Y RESTA DE ENTEROS Departamento de Informática. Curso 2006-2007 1 EL SEMISUMADOR BINARIO S = ab + ba = a b C = ab Departamento de

Más detalles

FUNDAMENTOS DE COMPUTADORES ALU

FUNDAMENTOS DE COMPUTADORES ALU Todos los derechos de propiedad intelectual de esta obra pertenecen en exclusiva a la Universidad Europea de Madrid, S.L.U. Queda terminantemente prohibida la reproducción, puesta a disposición del público

Más detalles

Computación I Representación Interna Curso 2011

Computación I Representación Interna Curso 2011 Computación I Representación Interna Curso 2011 Facultad de Ingeniería Universidad de la República Temario Representación de Números Enteros Representación de Punto Fijo Enteros sin signo Binarios puros

Más detalles

Unidad Aritmético Lógica A.G.O. All Rights Reserved

Unidad Aritmético Lógica A.G.O. All Rights Reserved Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved Introducción Operador aritmético y lógico (uno o varios). El Acumulador. Uno o varios registros temporales. Un banco de registros. Indicadores de

Más detalles

Tema 3: Operaciones aritméticas y lógicas

Tema 3: Operaciones aritméticas y lógicas Tema 3: Operaciones aritméticas y lógicas S Suma-resta en base dos S Operaciones lógicas: OR, AND, XOR y NOT S Operaciones de desplazamiento S Suma-resta en los diferentes sistemas de representación de

Más detalles

Tipos de Datos y Representaciones. Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC.

Tipos de Datos y Representaciones. Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC. Tipos de Datos y Representaciones Circuitos Digitales, 2º de Ingeniero de Telecomunicación. EITE ULPGC. Índice 1. Sistemas numéricos posicionales 2. Números octales y hexadecimales 3. Conversiones entre

Más detalles

Se detecta cuando el resultado requiera n+1 bits siendo que la representación solo utiliza n bits.

Se detecta cuando el resultado requiera n+1 bits siendo que la representación solo utiliza n bits. La multiplicación y la división se tratan sin dificultad operando por un lado con las magnitudes y por otro con los signos. Existe la posibilidad de desbordamiento (overflow) en estas operaciones. Se detecta

Más detalles

LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO)

LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO) LOGICA DIGITAL ARITMETICA DE COMPUTADORAS ASPECTOS FUNDAMENTALES 1. FORMA DE REPRESENTAR LOS NUMEROS ( FORMATO BINARIO) 2. ALGORITMOS UTILIZADOS PARA REALIZAR LAS OPERACIONES BASICAS (SUMA, RESTA, MULTIPLICACION

Más detalles

Fundamentos de los Computadores Grado en Ingeniería Informática

Fundamentos de los Computadores Grado en Ingeniería Informática 3.1 Circuitos aritmético-lógicos Fundamentos de los Computadores Grado en Ingeniería Informática Introducción La realización de operaciones aritméticas y lógicas es una de las principales i razones de

Más detalles

Estructura de Computadores. 1. Ejercicios Resueltos 1.1. Tema 6. La unidad aritmética y lógica

Estructura de Computadores. 1. Ejercicios Resueltos 1.1. Tema 6. La unidad aritmética y lógica Estructura de Computadores Tema 6. La unidad aritmética y lógica Operaciones típicas de la unidad aritmético-lógica. Algoritmos de multiplicación de Robertson y de Booth. Algoritmos de división con y sin

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

UNIDAD ARITMÉ M T É ICO-L - Ó L GICA 1

UNIDAD ARITMÉ M T É ICO-L - Ó L GICA 1 UNIDAD ARITMÉTICO-LÓGICA 1 ALU: Parte del computador donde se realizan las operaciones aritméticas y lógicas. Las otras unidades le proporcionan datos (que le llegan en forma de registros) ALU opera y

Más detalles

Sistemas numéricos -aritmética- Taller de programación

Sistemas numéricos -aritmética- Taller de programación Sistemas numéricos -aritmética- Taller de programación I semestre, 2016 Aritmética en sistemas numéricos Temas Precisión En computadoras todas las operaciones se dan entre números binarios con tamaño finito,

Más detalles

Tema IV. Unidad aritmético-lógica

Tema IV. Unidad aritmético-lógica Tema IV Unidad aritmético-lógica 4.1 Sumadores binarios 4.1.1 Semisumador binario (SSB) 4.1.2 Sumador binario completo (SBC) 4.1.3 Sumador binario serie 4.1.4 Sumador binario paralelo con propagación del

Más detalles

Subsistemas aritméticos y lógicos. Tema 10

Subsistemas aritméticos y lógicos. Tema 10 Subsistemas aritméticos y lógicos Tema 10 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador / Restador Sumador BCD Diseño de

Más detalles

Algoritmos Multiplicación División

Algoritmos Multiplicación División Algoritmos Multiplicación División 1 Algoritmos de Multiplicación Producto = Multiplicando * Multiplicador P : producto R: Multiplicando Q: Multiplicador P = R * Q 2 Algoritmos de Multiplicación Primer

Más detalles

Arquitectura de Computadoras para Ingeniería

Arquitectura de Computadoras para Ingeniería Arquitectura de Computadoras para Ingeniería (Cód. 7526) Cuatrimestre 26 Dra. DCIC - UNS Operaciones Aritméticas Implementación de las operaciones aritméticas básicas: ) Suma 2) Resta 3) Multiplicación

Más detalles

Realizar la siguiente suma y expresar el resultado en hexadecimal: Teniendo los 3 valores expresados en la misma base, podemos realizar la suma:

Realizar la siguiente suma y expresar el resultado en hexadecimal: Teniendo los 3 valores expresados en la misma base, podemos realizar la suma: Realizar la siguiente suma y expresar el resultado en hexadecimal: 83/ d + 33/ 4 + 0/ b El primer paso consiste en expresar todos lo valores con la misma base. Para eso convertiremos los dos primeros valores

Más detalles

Circuitos combinacionales aritméticos (Parte II)

Circuitos combinacionales aritméticos (Parte II) Circuitos combinacionales aritméticos (Parte II) Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid Contenidos. Circuitos sumadores y restadores Ø Sumadores con

Más detalles

6.1. Operadores aritméticos en binario natural

6.1. Operadores aritméticos en binario natural 6.1. Operadores aritméticos en binario natural 6.1.1. Puertas OR-Exclusiva y circuitos de paridad [ Wakerly 5.8. pág. 410] 6.1.2. Comparadores [ Wakerly 5.9. pág. 419] 6.1.3. Sumadores, restadores y ALUs

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

UNIDAD ARITMETICO-LOGICA. Conceptos. 1. Sumadores y restadores. Semisumador:

UNIDAD ARITMETICO-LOGICA. Conceptos. 1. Sumadores y restadores. Semisumador: UNIDAD ARITMETICO-LOGICA Conceptos Unidad aritmético-lógica: Elemento que realiza las operaciones aritméticas y lógicas entre los datos Operaciones típicas Sumar Restar Multiplicar Desplazamiento de registros

Más detalles

Unidades Aritméticas. Full Adder de un Bit. Sumador/Restador. Full Adder de 32 Bits. Carry Lookahead de 4 Bits. Suma Rápida con Carry Lookahead.

Unidades Aritméticas. Full Adder de un Bit. Sumador/Restador. Full Adder de 32 Bits. Carry Lookahead de 4 Bits. Suma Rápida con Carry Lookahead. Unidades Aritméticas Full Adder de un Bit a i b i a i b i c i s i c i+1 c i+1 s i s i = a i b i c i + a i b i c i + a i b i c i + a i b i c i c i+1 = a i b i + a i c i + b i c i c i 0 0 0 0 0 0 0 1 1 0

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Enunciados de problemas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Tema 3: Hoja: 2 / 18 Tema 3: Hoja: 3 / 18 Base teórica

Más detalles

Suma Resta Multiplica. División Alg. Boole Tbla Verdad Circuitos Karnaugh

Suma Resta Multiplica. División Alg. Boole Tbla Verdad Circuitos Karnaugh Sistemas de Numeración Operaciones Aritméticas Con SIGNO 2007-0808 Sistemas de Numeración 1 Suma SUMA: Cuatro posibles casos: AyBsonpositivos => >A+B> >= 0 A y B son negativos => A+B < 0 A positivo y B

Más detalles

2. Representación de la información

2. Representación de la información Informática Ingeniería en Electrónica y Automática Industrial Raúl Durán Díaz Juan Ignacio Pérez Sanz Departamento de Automática Escuela Politécnica Superior Curso académico 2018 2019 Contenidos Números

Más detalles

Computación 1. Representación Interna de Números

Computación 1. Representación Interna de Números Computación 1 Representación Interna de Números Contenido Representación de Enteros Sin Signo Representación de Enteros Con Signo con magnitud y signo exceso a M Complemento a 1 Números Enteros Representación

Más detalles

Tema 2. LÓGICA COMBINACIONAL (I): FUNCIONES ARITMÉTICO- LÓGICAS (Tema 5 del libro)

Tema 2. LÓGICA COMBINACIONAL (I): FUNCIONES ARITMÉTICO- LÓGICAS (Tema 5 del libro) Tema 2 LÓGICA COMBINACIONAL (I): FUNCIONES ARITMÉTICO- LÓGICAS (Tema 5 del libro) http://prof.mfbarcell.es 5.1 Representación conjunta de números positivos y negativos Representación conjunta de números

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Soluciones a los problemas impares Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Tema 3: Hoja: 2 / 25 Tema 3: Hoja: 3 / 25

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos combinacionales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos combinacionales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos combinacionales Puertas lógicas simples y complejas. Multiplexores. Elementos varios: codificadores

Más detalles

Aritmética del computador

Aritmética del computador Aritmética del computador Montse Bóo Cepeda Este trabajo está publicado bajo licencia Creative Commons Attribution- NonCommercial-ShareAlike 2.5 Spain. Estructura del curso. Evolución y caracterización

Más detalles

+- S x B +-E. Este estándar presupone una representación normalizada. Es decir, los números a representar obedecen a la siguiente forma:

+- S x B +-E. Este estándar presupone una representación normalizada. Es decir, los números a representar obedecen a la siguiente forma: 3.6 Codificación Punto Flotante Esta codificación nace por la necesidad de tener un rango más amplio de representatividad numérica, o cobertura. Los esquemas antes mencionados ofrecen un rango limitado

Más detalles

Unidad 7. Unidades aritméticas y lógicas

Unidad 7. Unidades aritméticas y lógicas Unidad 7. Unidades aritméticas y lógicas Circuitos Electrónicos Digitales E.T.S.I. Informática Universidad de Sevilla Jorge Juan 2-28 Esta obra esta sujeta a la Licencia Reconocimiento-CompartirIgual

Más detalles

UNIVERSIDAD DE CORDOBA ESTRUCTURA DE COMPUTADORAS UNIDAD III

UNIVERSIDAD DE CORDOBA ESTRUCTURA DE COMPUTADORAS UNIDAD III ARITMETICA PARA COMPUTADORAS 1.- INTRODUCCION Objetivo Estudio de uno de los Componentes Clásicos de una Computadora Unidad Aritmético Lógica (ALU) Partes a estudiar Representación de los números negativos

Más detalles

Organización de computadoras. Clase 1. Universidad Nacional de Quilmes. Lic. Martínez Federico

Organización de computadoras. Clase 1. Universidad Nacional de Quilmes. Lic. Martínez Federico Organización de computadoras Clase 1 Universidad Nacional de Quilmes Lic. Martínez Federico Qué pasó? Qué pasó? Binario Qué pasó? Binario Interpretación Qué pasó? Binario Interpretación Representación

Más detalles

Transcripciones de las presentaciones de clases de teoría 2010

Transcripciones de las presentaciones de clases de teoría 2010 Transcripciones de las presentaciones de clases de teoría 2010 IMPORTANTE: Estas notas de clases sirven como complemento de los apuntes ya editados por esta cátedra y no deben ser considerados como el

Más detalles

Representación digital de la información

Representación digital de la información Tema 1: Representación digital de la información Fundamentos de computadores José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de Madrid 2 Introducción

Más detalles

1.- Sistemas de representación

1.- Sistemas de representación Arquitectura Aritméticos.- istemas de representación. (a) Indicar el vector de pesos en un sistema posicional para representación de números naturales, en base r = 6 y cuatro dígitos, n = 4. Dar la respuesta

Más detalles

Operaciones en Datos

Operaciones en Datos Universidad Nacional de Ingeniería Facultad de Ciencias Introducción a la Ciencia de la Computación Operaciones en Datos Prof: J. Solano 2011-I Objetivos Despues de estudiar este cap. el estudiante sera

Más detalles

REPRESENTACION DE LA INFORMACION

REPRESENTACION DE LA INFORMACION ANEXO. Fundamentos Computadores I. Telecomunicación. Primer curso REPRESENTACION DE LA INFORMACION Fundamentos de Computadores. Departamento de Automática Dpto. Automática. Fundamentos de computadores.

Más detalles

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog:

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog: 3 - DISEÑO RTL Un diseño a nivel funcional o de comportamiento (behavioral description) permite describir circuitos digitales atendiendo únicamente a las relaciones existentes entre las entradas y salidas

Más detalles

22/03/2012. La división es una de las operaciones menos frecuentes. Revisemos la forma de ejecutarla

22/03/2012. La división es una de las operaciones menos frecuentes. Revisemos la forma de ejecutarla Arquitectura de Computadoras Primavera 2012 La división es una de las operaciones menos frecuentes. Revisemos la forma de ejecutarla 1 0 0 1 Cociente Divisor 1 0 0 0 1 0 0 1 0 1 0 Dividendo - 1 0 0 0 1

Más detalles

TEMA 5 ARITMÉTICA DE ALTA VELOCIDAD

TEMA 5 ARITMÉTICA DE ALTA VELOCIDAD TEMA 5 ARITMÉTICA DE ALTA VELOCIDAD 5. Procesadores Aritméticos. 5.1. Aritmética en coma fija de alta velocidad. Bibliografía recomendada: Computer Arithmetic Algorithms by Israel Koren. Digital Arithmetic

Más detalles

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División ELO311 Estructuras de Computadores Digitales Algoritmos de Multiplicación y División Tomás Arredondo Vidal Este material está basado en: material de apoyo del texto de David Patterson, John Hennessy, "Computer

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

Multiplicación. Multiplicación. Martín Vázquez Arquitectura I - Curso 2013 UNICEN. Notación dot

Multiplicación. Multiplicación. Martín Vázquez Arquitectura I - Curso 2013 UNICEN. Notación dot Multiplicación Martín Vázquez Arquitectura I - Curso 23 UNICEN Multiplicación 2 Multiplicación p b 3.a. 3 b 2.a. 2 b.a. b.a. b x a Notación dot p b 3.a.2 3 b 2.a.2 2 b.a.2 b.a.2 b x a Multiplicación decimal

Más detalles

b 1 +a 0 < b ; b=base Forma abreviada: a p-2 ) b Un número se representa por un conjunto de cifras (a i a a 1

b 1 +a 0 < b ; b=base Forma abreviada: a p-2 ) b Un número se representa por un conjunto de cifras (a i a a 1 SISTEMAS DE NUMERACIÓN: La representación de un número en la base b (b>1) utiliza para representar los números un alfabeto formado por el 0 y b-1 símbolos : Un número real N, de p dígitos enteros y q dígitos

Más detalles

Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores

Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 1: Introducción Tema 2: Sistema binario de representación numérica Pablo Huerta Pellitero ÍNDICE Bibliografía.

Más detalles

OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación

OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación 1 Introducción (I) ALU / Arquitectura Von Neumann CPU banco de registros

Más detalles

REPRESENTACIÓN Y ARITMÉTICA. 1. Introducción Representaciones alfanuméricas y numéricas Operador y estructura de la ALU

REPRESENTACIÓN Y ARITMÉTICA. 1. Introducción Representaciones alfanuméricas y numéricas Operador y estructura de la ALU Representación n y Aritmética tica REPRESENTACIÓN Y ARITMÉTICA 1. Introducción Representaciones alfanuméricas y numéricas Operador y estructura de la ALU 2. Representación en coma fija Binario sin signo

Más detalles

Expresiones y Operadores

Expresiones y Operadores Lenguaje C Expresiones y Departamento de Electrónica Fundación San Valero básicos: Operador de asignación: = No es del todo equivalente al igual matemático. A la derecha siempre estará el valor a asignar,

Más detalles

Organización de Computadoras

Organización de Computadoras Organización de Computadoras SEMANA 1 UNIVERSIDAD NACIONAL DE QUILMES Qué vimos? Sistema Binario Interpretación Representación Aritmética Sistema Hexadecimal Hoy! Lógica proposicional Compuertas lógicas:

Más detalles

Aritmética del computador. Departamento de Arquitectura de Computadores

Aritmética del computador. Departamento de Arquitectura de Computadores Aritmética del computador Departamento de Arquitectura de Computadores Contenido La unidad aritmético lógica (ALU) Representación posicional. Sistemas numéricos Representación de números enteros Aritmética

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y Introducción Circuitos Bloques Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires

Más detalles

Cap 1: Operaciones en Datos

Cap 1: Operaciones en Datos Universidad Nacional de Ingeniería Facultad de Ciencias Cálculo Numérico 1 IF321 Cap 1: Operaciones en Datos Prof: J. Solano 2018-I Objetivos Después de estudiar este capítulo el estudiante será capaz

Más detalles

Aritmética de Enteros y

Aritmética de Enteros y 1 Aritmética de Enteros y Flotantes 2013 Transversal de Programación Básica Proyecto Curricular de Ingeniería de Sistemas 2 1. Introduccion La aritmética de enteros es aritmética modular en complemento

Más detalles

Realizar las siguientes operaciones en c-a-2 utilizando el número mínimo de bits necesario para que no haya desbordamiento: 3 + 7, 5 7, 13 8, 10 6.

Realizar las siguientes operaciones en c-a-2 utilizando el número mínimo de bits necesario para que no haya desbordamiento: 3 + 7, 5 7, 13 8, 10 6. Problemas Propuestos Pasar de base 2 a base 10: (1011010) 2, (0100111001) 2 Pasar de base 10 a base 2: 21, 58, 73, 142, 196, 273 Pasar de base 10 a base 2, octal y hexadecimal: 35, 97 Pasar a base 2 y

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

ESCUELA POLITÉCNICA NACIONAL

ESCUELA POLITÉCNICA NACIONAL ESCUELA POLITÉCNICA NACIONAL Campus Politécnico "J. Rubén Orellana R." FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Control Carrera de Ingeniería Electrónica y Telecomunicaciones

Más detalles

6. Sumadores y restadores

6. Sumadores y restadores 6. es y restadores Objetivos: Estudio de la tabla de verdad y de las diferentes estructuras internas de un sumador completo. Introducir mejoras en el diseño del sumador completo para reducir su tiempo

Más detalles

Estructura de Computadores Tema 2. Representación de la información

Estructura de Computadores Tema 2. Representación de la información Estructura de Computadores Tema 2. Representación de la información Departamento de Informática Grupo de Arquitectura de Computadores, Comunicaciones y Sistemas UNIVERSIDAD CARLOS III DE MADRID Contenido!

Más detalles

Representación de datos y aritmética básica en sistemas digitales

Representación de datos y aritmética básica en sistemas digitales Representación de datos y aritmética básica en sistemas digitales DIGITAL II - ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Sistemas de Numeración: Alfabeto:

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

Aritmética de Computadores y Arquitecturas para el Procesado Digital de Señales Curso

Aritmética de Computadores y Arquitecturas para el Procesado Digital de Señales Curso Aritmética de Computadores y Arquitecturas para el Procesado Digital de Señales Curso 2011-2012 Práctica 1: Diseño de un multiplicador sencillo de forma combinacional, segmentado (pipeline) y secuencial

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y Introducción Circuitos Bloques Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires

Más detalles

Representación de enteros

Representación de enteros Representación de enteros Organización de computadoras 2018 Universidad Nacional de Quilmes En la primera unidad vimos como utilizar binario para representar números naturales. En esta unidad veremos como

Más detalles

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select. Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Dr. Marcelo Risk Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires 2017 Lógica

Más detalles

En este capítulo se habla sobre las distintas arquitecturas y algoritmos de multiplicación rápida que se encontraron en la bibliografía.

En este capítulo se habla sobre las distintas arquitecturas y algoritmos de multiplicación rápida que se encontraron en la bibliografía. Capítulo 4 Algoritmos de multiplicación rápida En este capítulo se habla sobre las distintas arquitecturas y algoritmos de multiplicación rápida que se encontraron en la bibliografía. Los sistemas en un

Más detalles

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS Suma y resta binaria Diseño de un sumador Análisis del sumador Análisis de un sumador/restador Suma y resta en BCD Suma y resta en BCD exceso de tres Análisis

Más detalles

Departamento de Sistemas e Informática. Digital II - ECA. Representación de datos y aritmética básica en sistemas digitales.

Departamento de Sistemas e Informática. Digital II - ECA. Representación de datos y aritmética básica en sistemas digitales. Departamento de Sistemas e Informática Digital II - ECA Representación de datos y aritmética básica en sistemas digitales Rosa Corti 2015 Sistemas de Numeración: Alfabeto: Símbolos utilizados Base: Cantidad

Más detalles

Representación digital de la información

Representación digital de la información Tema 1: Representación digital de la información Fundamentos de computadores José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de Madrid 2 Introducción

Más detalles

LABORATORIO DE SISTEMAS MICROPROCESADOS PRÁCTICA N 2 ALGORITMOS ADICIONALES. Revisar algunos algoritmos que se emplearan en prácticas futuras.

LABORATORIO DE SISTEMAS MICROPROCESADOS PRÁCTICA N 2 ALGORITMOS ADICIONALES. Revisar algunos algoritmos que se emplearan en prácticas futuras. FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Control Carrera de Ingeniería Electrónica y Telecomunicaciones Carrera de Ingeniería Electrónica y Redes de Información

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

SUMA DESPLAZAMIENTO. Comprobación: = =216. Multiplicar 12 x 18 (resultado 216)

SUMA DESPLAZAMIENTO. Comprobación: = =216. Multiplicar 12 x 18 (resultado 216) SUMA DESPLAZAMIENTO Multiplicar 12 x 18 (resultado 216) 12 01100 18 10010 R1 R2 C R3 R4 COMENTARIOS 0 1100 10010 0 0000 XXXXX Inicio, contador=0 contador=1 0 0000 0XXXX Desplazamiento 01001 Rotación R2

Más detalles

Jorge Aliaga Verano Si No- Si Si- No

Jorge Aliaga Verano Si No- Si Si- No Si No- Si Si- No Parece raro que alguien se pudiera comunicar con solo dos palabras. Es lo que hacemos con todos los dispositivos digitales que usan el código binario ( 0 y 1 ) o dos estados lógicos (falso

Más detalles

Aritmética de Enteros

Aritmética de Enteros Aritmética de Enteros La aritmética de los computadores difiere de la aritmética usada por nosotros. La diferencia más importante es que los computadores realizan operaciones con números cuya precisión

Más detalles

Representación binaria de números enteros en complemento a 2

Representación binaria de números enteros en complemento a 2 Representación binaria de números enteros en complemento a 2 Jorge Juan Chico jjchico@dte.us.es Departamento de Tecnología Electrónica. Universidad de Sevilla 23 de octubre de 2016 Índice Prefacio 2 1.

Más detalles

Divisores Binarios. D = d *Q + R. con la condición de que el resto sea menor que el divisor, es decir 0 R d.

Divisores Binarios. D = d *Q + R. con la condición de que el resto sea menor que el divisor, es decir 0 R d. Divisores Binarios. La operación de división es algo más compleja que la multiplicación, pero también se realiza en la mayoría de computadores mediante un circuito sumador/restador y algún algoritmo adecuado.

Más detalles

APUNTES DE CATEDRA: SISTEMAS DE NUMERACION - REPRESENTACION INTERNA DE NUMEROS Y CARACTERES

APUNTES DE CATEDRA: SISTEMAS DE NUMERACION - REPRESENTACION INTERNA DE NUMEROS Y CARACTERES Cátedra de COMPUTACION Carreras: Licenciatura en Matemática Profesorado en Matemática Profesora: Mgr. María del Carmen Varaldo APUNTES DE CATEDRA: SISTEMAS DE NUMERACION - REPRESENTACION INTERNA DE NUMEROS

Más detalles

1. Introducción Representaciones alfanuméricas y numéricas Operador y estructura de la ALU

1. Introducción Representaciones alfanuméricas y numéricas Operador y estructura de la ALU Representación y Aritmética REPRESENTACIÓN Y ARITMÉTICA 1. Introducción Representaciones alfanuméricas y numéricas Operador y estructura de la ALU 2. Representación en coma fija Binario sin signo Complemento

Más detalles

División. Martín Vázquez Arquitectura I - Curso 2013 UNICEN

División. Martín Vázquez Arquitectura I - Curso 2013 UNICEN División Martín Vázquez Arquitectura I Curso 213 UNICEN División Algunos ejemplos de división de números naturales en notación dot, a=q.b + r, (lápiz y papel) Si a es de 7 dígitos y b de 2 dígitos, se

Más detalles

Unidad Aritmética. A2 A1 A0 + B2 B1 B0 Co S2 S1 S0

Unidad Aritmética. A2 A1 A0 + B2 B1 B0 Co S2 S1 S0 1 Sumador sin signo. Dos números sin signo, con ancho tres. Con A0 y B0 los bits menos significativos. Carry-in, que es una entrada del sumador, igual a cero. A2 A1 A0 + B2 B1 B0 Co S2 S1 S0 2 Sumador

Más detalles

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Se emplean para: Transformación de datos Operaciones aritméticas (suma, resta...)

Más detalles

1. REPRESENTACION DE DATOS

1. REPRESENTACION DE DATOS 1. REPRESENTACION DE DATOS 1.1 INFORMACION Y DATOS. Un programa consiste, esencialmente, de dos partes: la descripción de las acciones que realizará el proceso representado y la descripción de los datos

Más detalles

PRÁCTICA 1b: SUMA Y RESTA BINARIA

PRÁCTICA 1b: SUMA Y RESTA BINARIA DEPARTAMENTO DE AUTOMÁTICA UAH GRADO EN INGENIERÍA INFORMÁTICA OBJETIVOS PRÁCTICA 1b: SUMA Y RESTA BINARIA ü ü Iniciar y familiarizar al alumno con las operaciones básicas (suma y resta) con números binarios.

Más detalles