FACULTAD DE INGENIERÍA UNIVERSIDAD NACIONAL DE SAN JUAN

Tamaño: px
Comenzar la demostración a partir de la página:

Download "FACULTAD DE INGENIERÍA UNIVERSIDAD NACIONAL DE SAN JUAN"

Transcripción

1 FACULTAD DE INGENIERÍA UNIVERSIDAD NACIONAL DE SAN JUAN TRABAJO INTEGRADOR FINAL Cátedras Aplicaciones Industriales del Ultrasonido Microcontroladores Pantalla Táctil por Ultrasonido basada en el Modulo HC - SR04 Alumno: Masiero, Renzo Año

2 Resumen Se presenta el estudio, desarrollo e implementación de una pantalla táctil que detecta la posición de un objeto (lápiz, mano, etc) en la misma con sensores de distancia ultrasónicos. La idea plantea el uso de cuatro sensores colocados en cierta posición fija y con ellos poder determinar mediante cálculos matemáticos la posición de un lápiz o la mano de un posible usuario. En este trabajo se llevan a cabo las pruebas necesarias para comprobar la factibilidad de esta propuesta y en caso de ser posible la elaboración de un prototipo funcional. 1. Introducción En la actualidad existen distintos tipos de pantallas táctiles. Las más comunes son las resistivas y las capacitivas, siendo las segundas las de mayor precisión y calidad. En general el costo de las mismas es elevado y el aumento del tamaño de estas recae en costos aun mayores. La idea plantea pantallas de mayor tamaño, ya que los sensores ultrasónicos utilizados miden un máximo de 4 metros. Por otro parte el costo de cada sensor es de aproximadamente 3 dólares, por lo que estamos usando 4 sensores el costo sería bajo. Las pruebas se concentraran en comprobar el funcionamiento de estos módulos para este proyecto en particular, la precisión alcanzada y también determinar la máxima distancia posible a medir considerando el eje vertical del lóbulo, y finalmente el funcionamiento en conjunto de los cuatro sensores juntos. Se plantea un diseño de acuerdo a la figura 1: Figura 1 Concepto del trabajo a desarrollar De esta forma la zona gris por ejemplo seria medida por el sensor 1 y cada sensor tendrá una zona similar. Así en cada zona dos sensores podrán medir la distancia desde los mismos hasta el objeto. La Zona 1 mostrara distancias en los sensores S1 y S2, la zona 2 en S1 y S4, la zona 3en S4 y S3 y por último la zona 4 en S3 y S2. Modulo HC - SR04: El modulo posee cuatro pines, de los cuales dos son para alimentación y masa del mismo y dos pines para su control. El pin trigger es por el cual indicaremos al sensor que realice una medición. Para que esta señal sea tomada como valida debe ser un cambio de estado de 0 lógico a 1 lógico y de vuelta a 0 de al menos 10 useg en estado alto, este dato es proporcionado por el fabricante en la hoja de datos. Una vez cumplida con la señal 2

3 anteriormente explicada el modulo pasado un tiempo nos colocara su salida denominada ECO en estado lógico 1, este tiempo es proporcional a la distancia a la que se detecto un objeto. En la siguiente grafica se explica el proceso: Figura 2. Formas de ondas del módulo HC - SR04 Figura 3. Vista del módulo HC - SR04 2. Diseño del sistema Se diseña el sistema como se muestra en la figura, los cuatro sensores serán colocados en las esquinas y calibrados, todo se montara sobre una base que simula una pantalla. El proceso de controlar los módulos se llevara a cabo con un microcontrolador msp430 y con el mismo se enviara la información a la PC para ser procesada. La conexión con la PC será una conexión serie y en la PC se trabajara con Linux y usando lenguaje C. Se trata de un microcontrolador pequeño en un encapsulado DIP de 20 pines de bajo costo y ultra bajo consumo. Los módulos sensores trabajan con niveles lógicos: 0 volts para 0 lógico y 5 volts para 1 lógico. El microcontrolador pose niveles lógicos: 0 volts para 0 lógico y 3.3 volts para 1 lógico. Para acoplar estos niveles usaremos una interfaz de 5v a 3,3v. Figura 4. Esquema general del sistema 3

4 Microcontrolador: Para el proyecto se usara el microcontrolador montado en su placa de desarrollo MSP430EXPG2. La misma posee comodidades para el trabajo de desarrollo como por ejemplo cambiar conexiones sin tener que re diseñar un circuito impreso, fácil acceso a los pines y alimentación estabilizada. Lo más importante es que posee un simulador de puerto serie que funciona como interfaz entre el microcontrolador y la Pc. El micro se comunica con la interfaz con una conexión serie normal y configurable en cuanto a velocidad, cantidad de bits de información y cantidad de bits de inicio y parada. Para la PC pose un puerto y cable USB pero que funciona como una comunicación serie tradicional, esto nos permite trabajar en una PC que no posea un puerto serie. Los modelos más avanzados de la empresa Texas poseen comunicación USB directa, y en un futuro se podría directamente trabajar a través de este tipo de conexión. Para la primera etapa de este proceso gracias la utilización de esta placa desarrolladora se conecto fácilmente una pantalla LCD para comprobar el ángulo de medición del modulo de manera más sencilla. A continuación se muestra una imagen con la palca de desarrollo y el microcontrolador montado en ella, en el se puede observar el integrado encargado de simular el puerto serie y la salida USB que se conecta al PC: Figura 5. MSP430EXPG2 Cálculos: Si un objeto se encontrase en la zona 3 el sensor 4 mediría una distancia y el sensor 3 otra, estos datos nos permitiría de la siguiente manera calcular la posición en X e Y del objeto. De la misma forma funcionaria para las otras cuatro zonas. Matemáticamente las coordenadas se obtienen con el teorema del coseno y trigonometría: 4

5 Figura 6. Cálculo de la coordenadas del punto 3. Ensayos del prototipo HC- SR04: En la primera etapa se puso en funcionamiento el modulo, comprobando distintas situaciones. Se comprobó que la velocidad del microcontrolador fuese suficiente para contar el tiempo en microsegundos requerido para lograr la conversión de tiempo de señal eco en alto distancia detectada. A continuación se muestran algunas capturas del osciloscopio donde se constataron los tiempos de respuesta del módulo y también se comprobó que el tiempo en alto de la señal eco era correctamente relevado por el microcontrolador: 5

6 Figura 7. Tiempos de respuesta Figura 8. Tiempos de respuesta Como conclusión se puede decir que se logro el correcto funcionamiento y se constato que el sensor funcionaba según lo esperado. Angulo de Medida El fabricante nos dice que el Angulo de medida es de 15, siendo este un inconveniente para la medición en línea. Para este proyecto en particular un mayor Angulo permitiría una mayor superficie de medición. Se realizaron experimentos para constatar el real ángulo de estos sensores y se lograron medir distancias en ángulos mayores a 15 obteniendo como promedio 35. Para el proyecto se decide entonces tomar como un rango de seguridad los 30. Se conecta al sensor una pantalla LCD para la lectura rápida y calibración de los sensores. También se decide eliminar la parte decimal del resultado obtenido debido a la inestabilidad de la misma. Se toman también con un osciloscopio las señales para constatar los datos calculados por el modulo, y asegurar que se respete el tiempo entre medición y medición que asegura un espacio libre de ondas anteriores. En las imágenes se muestran las pruebas realizadas. 6

7 Figura 9. Pruebas de calibración Figura 10. Vista del prototipo Armado del Prototipo final: Con las pruebas anteriores se realiza el armado del prototipo final esquematizado en la siguiente figura. Se comienza tomando una muestra de cada sensor en orden S1, S2, S3 y S4 y se detectan los primeros problemas. Como se explico los módulos no permiten el control directo de los sensores, sino que funcionan de forma automática. Estos aunque no se le indique que realicen una medición no detienen completamente los sensores y esto afecta todas las mediciones de los demás sensores. Es decir al disparar S1 el sensor S3 colocado 7

8 en frente modifica el valor obtenido en S1. Los módulos otorgan medidas completamente erróneas e inestables y se comienza a buscar una solución. Como posible solución se prueba colocar una llave electrónica (transistor) y se conecta a Vcc a través del microcontrolador cada modulo en el momento que se requiere el valor del mismo. El nuevo diagrama del proyecto se muestra a continuación, en el mismo se puede apreciar el agregado de las llaves electrónicas (transistores) que permitirán encender los módulos solo cuando se desee. Figura 11. Esquema prototipo II Finalmente se corrobora que el nuevo esquema de funcionamiento ofrece la corrección del problema mencionado. El proceso comienza activando el módulo S1 tomando el valor correspondiente y desactivando el módulo S1, esto se repite para S2, S3 y S4 en ese orden. El tiempo mínimo entre una medición y otra es de 20mS por lo que se tiene en cuenta este requerimiento para el proceso. A continuación se muestra una gráfica de tiempo de funcionamiento con un objeto en la Zona 3 detectado por los módulos S4 y S3, donde se observa que el proceso completo tiene una duración de aproximadamente 200ms. Figura 12. Formas de onda prototipo II A continuación algunas muestras del prototipo funcionando y capturas de pantalla de los resultados obtenidos. 8

9 Figura 13. Pantalla táctil Figura 14. Microcontrolador, transistores e interfaz 9

10 Figura 15. Prototipo junto con capturas de pantalla Figura 16. Código primer ejemplo Segundo ejemplo: Figura 17. Posición en el segundo ejemplo 10

11 Figura 18. Código segundo ejemplo 3. Conclusiones Como conclusión se puede decir que se obtiene un funcionamiento correcto. En cuanto a la precisión del sistema se realizan tres mediciones antes de mostrar un resultado y obtener un valor promediado. La precisión de este método esta aproximadamente en 1.5 cm por lo que debería tenerse en cuenta los requerimientos de precisión antes de comenzar con un proyecto de este tipo. Queda probado que la propuesta es factible y se podría profundizar en el tema en cuanto a la optimización del todo el sistema. En cuanto a la distancia, los resultados no se los esperados, el modulo efectivamente mide más de 3 metros, pero sobre una superficie el lóbulo se ve afectado por la misma reduciendo el rango a unos 80 centímetros. Para futuros trabajos y como posibles mejoras se propone un estudio sobre el orden de activación de los módulos según sea necesario, es decir, no mantener el orden repetitivo una vez que se detecta una señal, podría mejorar el tiempo de muestreo y realizar un estudio detallado de precisión. Anexo I Codigo del Microcontrolador #include <msp430.h> #include "intrinsics.h" void ConfigurarTimer(void); void ConfigurarUart(void); unsigned int Medir(int); void Transmitir(unsigned int); unsigned long int contador=0; 11

12 unsigned char RXByte=0; void main(void) WDTCTL = WDTPW WDTHOLD; // Stop watchdog timer unsigned int distancia1=0,distancia2=0,distancia3=0,distancia4=0; BCSCTL1 = CALBC1_16MHZ; // Set range DCOCTL = CALDCO_16MHZ; // Set DCO step + modulation P1SEL = BIT1 + BIT2; P1SEL2 = BIT1 + BIT2; P2SEL = 0x00; //puertos como E/S P1DIR = BIT0 + BIT3+ BIT4+ BIT5+ BIT6+ BIT7; //SALIDAS // 6 y 7 transitores para s1 y s4 P2DIR = BIT4+ BIT5; //SALIDAS de TRANSISTORES s1 y s2 /* * salidas: 1. * 5 4 * * 0 3 Entradas: */ ConfigurarUart(); ConfigurarTimer(); enable_interrupt(); P2OUT &= ~BIT4; P2OUT &= ~BIT5; P1OUT &= ~BIT6; P1OUT &= ~BIT7; while(1) if(rxbyte==0x39) distancia1=medir(1); delay_cycles(100); distancia2=medir(2); delay_cycles(100); distancia3=medir(3); delay_cycles(100); distancia4=medir(4); delay_cycles(100); Transmitir(distancia1); Transmitir(distancia2); Transmitir(distancia3); Transmitir(distancia4); RXByte=0; if(rxbyte==0x31) P2OUT = BIT4; delay_cycles(1000); 12

13 distancia1=medir(1); delay_cycles(2000); distancia1=distancia1+ Medir(1); delay_cycles(2000); distancia1=distancia1+ Medir(1); P2OUT &= ~BIT4; distancia1=distancia1/3; Transmitir(distancia1); RXByte=0; distancia1=0; if(rxbyte==0x32) P2OUT = BIT5; delay_cycles(1000); distancia1=medir(2); delay_cycles(2000); distancia1=distancia1+ Medir(2); delay_cycles(2000); distancia1=distancia1+ Medir(2); P2OUT &= ~BIT5; distancia2= distancia2/3; Transmitir(distancia2); RXByte=0; distancia2=0; if(rxbyte==0x33) P1OUT = BIT6; delay_cycles(1000); distancia1=medir(3); delay_cycles(2000); distancia1=distancia1+ Medir(3); delay_cycles(2000); distancia1=distancia1+ Medir(3); P1OUT &= ~BIT6; Distancia3=distancia3/3; Transmitir(distancia3); RXByte=0; distancia3=0; if(rxbyte==0x34) P1OUT = BIT7; delay_cycles(1000); distancia1=medir(4); delay_cycles(2000); distancia1=distancia1+ Medir(4); delay_cycles(2000); distancia1=distancia1+ Medir(4); P1OUT &= ~BIT7; Distancia4=distancia4/3; Transmitir(distancia4); RXByte=0; distancia4=0; delay_cycles(200); 13

14 unsigned int Medir(int A) switch(a) case 1: contador=0; P1OUT = BIT0; delay_cycles(100); P1OUT =~ BIT0; while((p2in & BIT0) == 0) CCTL0 = CCIE; while((p2in & BIT0)!= 0) CCTL0 = ~ CCIE; break; case 2: contador=0; P1OUT = BIT3; delay_cycles(100); P1OUT =~ BIT3; while((p2in & BIT1) == 0) CCTL0 = CCIE; while((p2in & BIT1)!= 0) CCTL0 = ~ CCIE; break; case 3: contador=0; P1OUT = BIT4; delay_cycles(100); P1OUT =~ BIT4; while((p2in & BIT2) == 0) CCTL0 = CCIE; while((p2in & BIT2)!= 0) CCTL0 = ~ CCIE; break; case 4: contador=0; P1OUT = BIT5; delay_cycles(100); P1OUT =~ BIT5; while((p2in & BIT3) == 0) CCTL0 = CCIE; while((p2in & BIT3)!= 0) CCTL0 = ~ CCIE; break; return (contador/58); void ConfigurarTimer(void) CCTL0 =~CCIE; 14

15 CCR0 =16; TACTL = TASSEL_2 + MC_1; void ConfigurarUart(void) UCA0CTL1 = UCSSEL_2; UCA0BR0 = 130; // 1MHz 9600 UCA0BR1 = 6; // 1MHz 9600 //UCA0BR0 = 1666; // 16MHz 9600 //UCA0BR1 = 0; // 16MHz 9600 UCA0MCTL = UCBRS0; UCA0CTL1 &= ~UCSWRST; IE2 = UCA0RXIE; void Transmitir(unsigned int dato) while (!(IFG2 & UCA0TXIFG)); UCA0TXBUF = dato; #pragma vector=timer0_a0_vector interrupt void Timer_A (void) contador ++; #pragma vector=usciab0rx_vector interrupt void USCI0RX_ISR(void) RXByte = UCA0RXBUF; ANEXO II Módulos Usados en el Microcontrolador Modulo UART La configuración de este modulo comienza seleccionando el reloj colocando dos valores de la tabla provista por el fabricante para que el envío se realice a la velocidad deseada, en nuestro caso a 9600 baudios. Para nuestro envío utilizaremos la configuración más sencilla con 7 bits de información y un bit de parada. Para la recepción de información se utilizaran interrupciones, es decir cuando el buffer de entrada este completo interrumpirá el programa principal y se podrá extraer el dato del buffer. Para la transmisión solo se procederá escribiendo en el buffer el dato que se desea enviar. Solo se deberá tener en cuenta las banderas que indican que la transmisión anterior ya finalizo. 15

16 Timer Se utiliza el timer A en el Up Mode, cuando el mismo llega al valor de cuenta deseado, desborda e interrumpe. o TAR cuenta hacia arriba hasta que alcanza el valor del registro CCRO o TAR -> CCRO: TACCRO genera la bandera de interrupción, si CCIFG esta en 1. o En el próximo ciclo se reinicia la cuenta del TAR. Periodo de interrupción: T int = 1/[f clk /Divisor/(TACCRO+1)] = 1/[16Mhz/1/16] = 1 us Up Mode Diagrama en bloques del timer A Anexo III Desarrollo del programa de PC en C++ Programa solo desarrollado para ejecutar en consola y probar el S1 y S2 solos o en conjunto devolviendo la posición x, y del objeto. #include <iostream> #include <SerialPort.h> #include <string.h> #include <fstream> #include <iomanip> #include <unistd.h> #include <sstream> #include <stdlib.h> #include <cmath> using namespace std; 16

17 int main() int aux1=0,aux2=0,suma; float x=0,y=0; SerialPort serial_port( "/dev/ttyacm0"); serial_port.open(serialport::baud_9600, SerialPort::CHAR_SIZE_8, SerialPort::PARITY_NONE, SerialPort::STOP_BITS_1, SerialPort::FLOW_CONTROL_NONE); unsigned int opcion; cout<<"1 => 1 ::::: 2=> 2 ::::::: 9 => 1 Y 2 "<<endl; cin>>opcion; if (opcion==1) for(int i=0;i<10000;i++) try serial_port.write("1"); aux1= serial_port.readbyte(2000); catch (SerialPort::ReadTimeout &E) cout << "No se recivieron datos, tiempo de espera exedido"<<endl; return 0; aux1=(aux1/7)-1.8+(aux1); cout<<"sensor1 " << aux1<<endl; //for opcion 1 //if opcion 1 if (opcion==2) for(int i=0;i<10000;i++) try serial_port.write("2"); aux1= serial_port.readbyte(2000); catch (SerialPort::ReadTimeout &E) cout << "No se recivieron datos, tiempo de espera exedido"<<endl; return 0; aux1=(aux1/7)-1.8+(aux1); cout<<"sensor2 " << aux1<<endl; //for opcion 2 17

18 //if opcion 2 if (opcion==9) for(int i=0;i<1000;i++) try serial_port.write("1"); aux1= serial_port.readbyte(2000); catch (SerialPort::ReadTimeout &E) cout << "No se recivieron datos, tiempo de espera exedido"<<endl; return 0; aux1=((suma/4)/7)-1.8+(suma/4); aux1=aux1*1.2; espera try serial_port.write("2"); aux2= serial_port.readbyte(2000); catch (SerialPort::ReadTimeout &E) cout << "No se recivieron datos, tiempo de return 0; exedido"<<endl; aux2=((suma/4)/7)-1.8+(suma/4); aux2=aux2*1.1; cout<<"sensor 1: "<<aux1<<" sensor 2:"<<aux2<<endl; x= (50*50)+ (aux1*aux1) - (aux2*aux2); x= x / (2*50*aux1); x= x * aux1; x = 50-x; y = 30-sqrt((aux2*aux2)-(x*x)); cout<<"x = "<<x<<" y = "<<y<<endl; usleep(1000); //for opcion 9 //if opcion 9 // fin main 18

Figura 1. Diagrama de bloques del Timer_A

Figura 1. Diagrama de bloques del Timer_A TIMER_A El Timer_A es un timer/contador de 16 bit con tres registros de captura/comparación. El Timer_A soporta múltiples capturas/comparaciones y temporización de intervalos de tiempo; también genera

Más detalles

Práctica No. 4 del Curso "Microcontroladores" Uso del Convertidor ADC

Práctica No. 4 del Curso Microcontroladores Uso del Convertidor ADC Objetivos Práctica No. 4 del Curso "Microcontroladores" Uso del Convertidor ADC Caracterizar el convertidor ADC del Microcontrolador MSP430 y con base en él realizar algunas aplicaciones para la medición

Más detalles

SEMINARIO DE COMPUTADORES I DISE~NO CON MICROCONTROLADORES MSP430: Manejo de motor servo

SEMINARIO DE COMPUTADORES I DISE~NO CON MICROCONTROLADORES MSP430: Manejo de motor servo SEMINARIO DE COMPUTADORES I DISE~NO CON MICROCONTROLADORES MSP430: Manejo de motor servo Nombres: Daniel Arancibia Carocca Alex Garay Herrera Juan Carlos Jarur Mu~noz Bruno Mundaca Moraga Rodrigo Vizcarra

Más detalles

L. Silva B. / W. Freund G. / R. Aguilera R. Lab

L. Silva B. / W. Freund G. / R. Aguilera R. Lab Laboratorio 7. Uso de Interrupciones y Comunicación Serial en microcontrolador MSP430. Objetivos. Estudiar y comprender el proceso de interrupciones implementado en el microcontrolador MSP430. Aprender

Más detalles

Universidad Nacional de Ingeniería. Facultad de electrotecnia y Computación. Departamento de Electrónica. Asignaturas: Sistema de Medición I.

Universidad Nacional de Ingeniería. Facultad de electrotecnia y Computación. Departamento de Electrónica. Asignaturas: Sistema de Medición I. Universidad Nacional de Ingeniería. Facultad de electrotecnia y Computación. Departamento de Electrónica. Asignaturas: Sistema de Medición I. Alumnos: Samir Enrique Martínez López. José Antonio Álvarez

Más detalles

Laboratorio 8. Uso de Conversor Análogo Digital Digital Análogo en microcontrolador MSP430.

Laboratorio 8. Uso de Conversor Análogo Digital Digital Análogo en microcontrolador MSP430. Laboratorio 8. Uso de Conversor Análogo Digital Digital Análogo en microcontrolador MSP430. Objetivos. Estudiar y comprender los principios básicos de conversión análogo digital. Estudiar y comprender

Más detalles

Implementación de un reloj de tiempo real en el MSP430F149

Implementación de un reloj de tiempo real en el MSP430F149 Implementación de un reloj de tiempo real en el MSP430F149 Los relojes de tiempo real (eal Time Clocks) son utilizados en diversas aplicaciones: eventos generadores de marcas de tiempo, generación de eventos

Más detalles

Actuadores eléctricos - Motores

Actuadores eléctricos - Motores Servomotores Un servomotor es básicamente un actuador mecánico que contine un motor eléctrico y un conjunto de engranajes que permiten multiplicar el torque del sistema final y tiene la capacidad de ser

Más detalles

sistema RAGNVALD funciona correctamente, así como para encontrar posibles mejoras

sistema RAGNVALD funciona correctamente, así como para encontrar posibles mejoras Capítulo 8 Pruebas y Resultados En este capítulo se detallan las pruebas que se realizaron para asegurar que el sistema RAGNVALD funciona correctamente, así como para encontrar posibles mejoras para el

Más detalles

Tutorial 13: Modulo sensor ultrasónico; Haz una alarma.

Tutorial 13: Modulo sensor ultrasónico; Haz una alarma. 13 T Tutorial 13: Modulo sensor ultrasónico; Haz una alarma. Objetivo En esta práctica conoceremos el sensor ultrasónico, y aprenderemos a programarlo, y también haremos una alarma sencilla para poner

Más detalles

PIC 18F45XX. El sensor de distancias (SRF04/05)

PIC 18F45XX. El sensor de distancias (SRF04/05) PIC 18F45XX El sensor de distancias (SRF04/05) 1. Características generales SRF04/05 Se trata de un medidor de distancias de bajo costo por ultrasonidos. La detección del objeto se consigue midiendo el

Más detalles

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata Muestreo de señales analógicas Principal requerimiento que surge al muestrear una señal continua: Definir el periodo de muestreo con suficiente exactitud. Ajustar el mismo a un valor adecuado de acuerdo

Más detalles

TECNOLOGÍA ROBÓTICA. TEMA 6. CONTROL POR ORDENADOR.

TECNOLOGÍA ROBÓTICA. TEMA 6. CONTROL POR ORDENADOR. Desarrollo del tema: 1. Sistemas automáticos programados. 2. Control mediante un ordenador. 3. Lenguajes de programación de alto nivel. 4. Proyecto informático. Diagrama de bloques. 5. Lenguaje de programación

Más detalles

Cátedra I Informática Autor I Carlos Bartó

Cátedra I Informática Autor I Carlos Bartó Cátedra I Informática Autor I Carlos Bartó FCEFyN Departamento de Computación Informática Examen - 11-02-2008 Apellido y Nombres: Matrícula: Carrera: ESPECIFICACION: Desarrollar un programa en lenguaje

Más detalles

5. Resultados experimentales

5. Resultados experimentales 5. Resultados experimentales Resultados experimentales 5.1 Montaje en la placa de pruebas Una vez que ya hemos visto como conectar los componentes, realizaremos el montaje en la placa de pruebas para,

Más detalles

Funciones: Pasos por Referencia Recursividad

Funciones: Pasos por Referencia Recursividad Funciones: Pasos por Referencia Recursividad Fundamentos de Programación Fundamentos de Programación I Parámetros por referencia Con la instrucción return sólo se puede devolver un valor calculado. A veces

Más detalles

Departamento de Ingeniería Electrónica Escuela Técnica Superior de Ingeniería Universidad de Sevilla

Departamento de Ingeniería Electrónica Escuela Técnica Superior de Ingeniería Universidad de Sevilla Proyecto Fin de Grado Grado en Ingeniería Electrónica, Robótica y Mecatrónica Mención en Intrumentación electrónica y control Comunicación a través de redes de alimentación en corriente continua [PLC]

Más detalles

Cátedra I Informática Autor I Carlos Bartó

Cátedra I Informática Autor I Carlos Bartó FCEFyN Departamento de Computación Informática Examen - 07-07-2008 Apellido y Nombres: Matrícula: Carrera: PROBLEMAS: 1) Especifique en el lenguaje C++ una función denominada calc_fecha() que tenga como

Más detalles

Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura Escuela de Ingeniería Electrónica INFORMÁTICA ELECTRÓNICA

Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura Escuela de Ingeniería Electrónica INFORMÁTICA ELECTRÓNICA Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura Escuela de Ingeniería Electrónica INFORMÁTICA ELECTRÓNICA Escuela de Ingeniería Electrónica Departamento de Sistemas

Más detalles

DEPARTAMENTO DE ELÉCTRICA Y ELECTRÓNICA CARRERA DE INGENIERÍA ELECTRÓNICA E INSTRUMENTACIÓN

DEPARTAMENTO DE ELÉCTRICA Y ELECTRÓNICA CARRERA DE INGENIERÍA ELECTRÓNICA E INSTRUMENTACIÓN DEPARTAMENTO DE ELÉCTRICA Y ELECTRÓNICA CARRERA DE INGENIERÍA ELECTRÓNICA E INSTRUMENTACIÓN PROYECTO DE TITULACIÓN PREVIO A LA OBTENCIÓN DEL TÍTULO DE INGENIERO EN ELECTRÓNICA E INSTRUMENTACIÓN CHICAISA

Más detalles

CYBERTECH 2015 TALLER 2: SENSORES Y SOLDADURA

CYBERTECH 2015 TALLER 2: SENSORES Y SOLDADURA CYBERTECH 2015 TALLER 2: SENSORES Y SOLDADURA Departamento de Automática, Ingeniería Electrónica e Informática Industrial SOLDADURA Soldadura - Soldador (de lápiz) : 20-40W - Desoldador ( jeringa ) - Esponja

Más detalles

Labs para el workshop de programación en C sobre MSP430 (SASE 2012)

Labs para el workshop de programación en C sobre MSP430 (SASE 2012) Labs para el workshop de programación en C sobre MSP430 (SASE 2012) Lab. 1: uso básico de puertos de E/S /******************************************************** * Lab01: uso básico de puertos de E/S.

Más detalles

Introducción rápida a la programación (estructurada ) con C++

Introducción rápida a la programación (estructurada ) con C++ Introducción rápida a la programación (estructurada ) con C++ A B No A? Si B A Los Bloques pueden ser una línea de código o un modulo (función o subrutina) que pueden estar contenido en otro archivo de

Más detalles

PRÁCTICA 6. CIRCUITOS ARITMÉTICOS

PRÁCTICA 6. CIRCUITOS ARITMÉTICOS PRÁCTICA 6. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar un circuito aritmético y aprender cómo construir un componente básico en electrónica digital: el generador de reloj.

Más detalles

Laboratorio 6: Teclado Matricial y Comunicación Serial Asincrónica

Laboratorio 6: Teclado Matricial y Comunicación Serial Asincrónica Laboratorio 6: Teclado Matricial y Comunicación Serial Asincrónica Objetivos. Experimentar con la conexión de dispositivos externos al microcontrolador. Aprender a adquirir datos desde un teclado matricial.

Más detalles

CAPÍTULO 5 RESULTADOS

CAPÍTULO 5 RESULTADOS CAPÍTULO 5 RESULTADOS RESULTADOS El Sistema para Transmisor y Recibir Información Codificada sobre una Señal de Video, que se ha descrito en las páginas anteriores mediante la Etapa de Transmisión y la

Más detalles

Programación 1 Tema 3. Información, datos, operaciones y expresiones

Programación 1 Tema 3. Información, datos, operaciones y expresiones Programación 1 Tema 3 Información, datos, operaciones y expresiones Índice Datos y tipos de datos Datos primitivos en C++ Expresiones e instrucción de asignación Datos y tipos de datos Problema información

Más detalles

Borrador PIC 18F45XX. El sensor de distancias (SRF04/05)

Borrador PIC 18F45XX. El sensor de distancias (SRF04/05) Borrador PIC 18F45XX El sensor de distancias (SRF04/05) 1. Características generales Se trata de un medidor de distancias de bajo costo por ultrasonidos. La detección del objeto se consigue midiendo el

Más detalles

Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura. Escuela de Ingeniería Electrónica Informática Electrónica

Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura. Escuela de Ingeniería Electrónica Informática Electrónica Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura. Escuela de Ingeniería Electrónica Informática Electrónica Trabajo Práctico Final: Asistente de Estacionamiento Grupo

Más detalles

SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES

SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES Cuestión (20 minutos 2 puntos) Un microcontrolador de la familia STM32L152RB debe disponer de una memoria de datos de 256M x 16 bits. En esta memoria, la parte más baja es memoria ROM y la parte más alta

Más detalles

Laboratorio 6: Teclado Matricial y Comunicación Serial Asincrónica

Laboratorio 6: Teclado Matricial y Comunicación Serial Asincrónica Laboratorio 6: Teclado Matricial y Comunicación Serial Asincrónica Objetivos. Experimentar con la conexión de dispositivos externos al microcontrolador. Aprender a adquirir datos desde un teclado matricial.

Más detalles

Comprobar la funcionalidad de un lista determinada de circuitos integrados existentes en el laboratorio de digitales, a través de microcontroladores,

Comprobar la funcionalidad de un lista determinada de circuitos integrados existentes en el laboratorio de digitales, a través de microcontroladores, Comprobar la funcionalidad de un lista determinada de circuitos integrados existentes en el laboratorio de digitales, a través de microcontroladores, una pantalla GLCD para presentación de menús y resultados

Más detalles

6.2 Conexión de la Tarjeta de Comunicaciones Inalámbricas Bluetooth a la Computadora

6.2 Conexión de la Tarjeta de Comunicaciones Inalámbricas Bluetooth a la Computadora 6.1 Introducción Una vez fabricada y armada la y desarrollada la interfaz de configuración para la tarjeta se deben realizar pruebas que comprueben el buen funcionamiento de la tarjeta y cada uno de los

Más detalles

Driver Medidor de Distancia Ultrasónico

Driver Medidor de Distancia Ultrasónico Seminario de Computadores I, 1 er Semestre 2004 Driver Medidor de Distancia Ultrasónico Francisco Blancaire Eduardo González Michael Kusch Diego Valencia ÍNDICE GENERAL Índice General 1 Introducción 2

Más detalles

CUESTIÓN (2 puntos): SOLUCIÓN:

CUESTIÓN (2 puntos): SOLUCIÓN: CUESTIÓN (2 puntos): Escriba las instrucciones en C necesarias para realizar las siguientes operaciones, sin alterar el comportamiento del resto del sistema: a) Configurar el pin PB12 como entrada digital

Más detalles

1. Medidor de potencia óptica

1. Medidor de potencia óptica En este anexo se va a hablar del instrumental de laboratorio más importante utilizado en la toma de medidas. Este instrumental consta básicamente de tres elementos: el medidor de potencia óptica, el osciloscopio

Más detalles

MODULO TRANSCEPTOR DE DATOS PUNTO A PUNTO WIZ-434-SML-IA

MODULO TRANSCEPTOR DE DATOS PUNTO A PUNTO WIZ-434-SML-IA MODULO TRANSCEPTOR DE DATOS PUNTO A PUNTO WIZ-434-SML-IA 1.- INTRODUCCIÓN Los módulos WIZ-SML-IA consisten en transceptores para la transferencia de datos punto a punto en el modo half-duplex, vía radio

Más detalles

2- Sistema de comunicación utilizado (sockets TCP o UDP, aplicaciones, etc.):

2- Sistema de comunicación utilizado (sockets TCP o UDP, aplicaciones, etc.): UNIVERSIDAD DE SAN BUENAVENTURA SEDE BOGOTA INGENIERIA MECATRONICA GRUPO S.I.R.A TUTOR: MORIAN NAIR CALDERON INTEGRANTES: NICOLAS FELIPE CUBILLOS. NAYKOL ANDREY ESPITIA. CRISTIAN DANIEL GARCIA. CARLOS

Más detalles

MIDIENDO DISTANCIAS CON UN SENSOR DE ULTRASONIDOS

MIDIENDO DISTANCIAS CON UN SENSOR DE ULTRASONIDOS MIDIENDO DISTANCIAS CON UN SENSOR DE ULTRASONIDOS Un complemento imprescindible para muchos de robots o vehículos controlados a distancia es un sensor que nos permita saber la distancia libre de obstáculos

Más detalles

Lenguaje C. República Bolivariana de Venezuela Fundación Misión Sucre Aldea Fray Pedro de Agreda Introducción a la Programación III

Lenguaje C. República Bolivariana de Venezuela Fundación Misión Sucre Aldea Fray Pedro de Agreda Introducción a la Programación III República Bolivariana de Venezuela Fundación Misión Sucre Aldea Fray Pedro de Agreda Introducción a la Programación III Lenguaje C 1 Puntos previos Los códigos fuentes generados en C requieren ser compilados

Más detalles

I.E.S. PADRE MANJÓN DPTO. TECNOLOGÍA-INFORMÁTICA 2017/2018 BRAZO ROBOT ARDUINO

I.E.S. PADRE MANJÓN DPTO. TECNOLOGÍA-INFORMÁTICA 2017/2018 BRAZO ROBOT ARDUINO I.E.S. PADRE MANJÓN DPTO. TECNOLOGÍA-INFORMÁTICA 2017/2018 BRAZO ROBOT ARDUINO PROYECTO ROBOT Contenido MATERIAL Y MONTAJE.... 2 MOTOR MG996R... 6 CALIBRACIÓN DE SERVOS:... 6 ALIMENTACIÓN DE 5 SERVOS:...

Más detalles

LENGUAJES DE PROGRAMACIÓN. Solución al Trabajo Práctico - Septiembre de 2016

LENGUAJES DE PROGRAMACIÓN. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 El método del punto fijo es un método para resolver una ecuación de la forma f(x) = x El método consiste en elegir un valor inicial x 0 y realizar

Más detalles

Repaso Lenguaje C Área de Servicios Programación (Ing. Elect. y Prof. Tec.), Programación I (TUG y TUR) y Electrónica programable (TUE)

Repaso Lenguaje C Área de Servicios Programación (Ing. Elect. y Prof. Tec.), Programación I (TUG y TUR) y Electrónica programable (TUE) Repaso Lenguaje C OBJETOS Variables Constantes Variable: objeto del ambiente cuyo contenido puede cambiar. Constante: objeto cuyo contenido no puede cambiar. Variables: - un nombre que la identifica. Deben

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Microcontroladores para instrumentación y control. 2.

Más detalles

Programación 1 Tema II Clase de problemas. Diseño de programas elementales

Programación 1 Tema II Clase de problemas. Diseño de programas elementales Programación 1 Tema II Clase de problemas Diseño de programas elementales 1 Problema 1º. Programa Caramelos Diseñar un programa C++ interactivo con el siguiente comportamiento: Numero de caramelos a repartir:

Más detalles

Anexo III. Programas

Anexo III. Programas Anexo III Programas 1 Programa de control de Disparo para el controlador de velocidad Para poder controlar el ángulo de disparo de los SCR s, es necesario que el Microcontrolador que en este caso un arduino

Más detalles

CYBERTECH 2018 TALLER 02: SENSORES Y SOLDADURA

CYBERTECH 2018 TALLER 02: SENSORES Y SOLDADURA CYBERTECH 2018 TALLER 02: SENSORES Y SOLDADURA PARTE 1: SOLDADURA SOLDADURA Soldador de lápiz: 20-40 W Desoldador (jeringa, chupón ) Esponja Soporte Estaño (40% Pb + 60% Sn + Resina) IMPORTANTE Soldador

Más detalles

S320120 MAXSONAR EZ1 SENSOR DE DISTANCIAS POR ULTRASONIDOS Información técnica Sensor de distancias por ultrasonido de alto rendimiento MaxSonar-EZ1 A 0,785 19,9 mm F 0,519 12,6 mm B 0,870 21,1 mm G 0,124

Más detalles

VDD (varios) VSS (varios) OSC_IN OSC_OUT OSC_OUT PB12. Analógica

VDD (varios) VSS (varios) OSC_IN OSC_OUT OSC_OUT PB12. Analógica APELLIDOS NOMBRE NIA CUESTIÓN 1 (2.5 puntos): Para una determinada aplicación se necesita tener conectado un dispositivo al STM32L152RB a través de los pines PB0 PB7, configurados para que el dispositivo

Más detalles

USB232. Hoja de datos

USB232. Hoja de datos - 1 - USB232 Hoja de datos 9600, 19200, 38400, 57600, 115200 bps Interfaz USB serie RS232 Integración de tecnología USB Para sistemas con comunicación serie RS232 - 2 - USB232 Interfaz USB serie RS232

Más detalles

Proyecto Final: Controlador de Acuarios

Proyecto Final: Controlador de Acuarios Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura Escuela de Ingeniería Electrónica Informática Electrónica Proyecto Final: Controlador de Acuarios Cristian Martinez

Más detalles

LENGUAJES DE PROGRAMACIÓN. Solución al examen de Junio 2016, Segunda Semana

LENGUAJES DE PROGRAMACIÓN. Solución al examen de Junio 2016, Segunda Semana Solución al examen de Junio 2016, Segunda Semana PREGUNTA 1 (3 puntos) Indique la veracidad o falsedad de cada una de las afirmaciones siguientes, explicando detalladamente en cada caso el motivo de su

Más detalles

Evaluador Físico Electrónico para Test de Aptitud Física

Evaluador Físico Electrónico para Test de Aptitud Física Evaluador Físico Electrónico para Test de Aptitud Física Maggiolo Gustavo Caballero Raúl Reula Germán Pfarher Iván Email: {gustavomaggiolo, raul_caballero, germanreula}@frp.utn.edu.ar ivan_pfarher@hotmail.com

Más detalles

Palabras reservadas de C++ y C. Una palabra reservada no puede declararse como un identificador, esto haría un conflicto entre conectores y funciones.

Palabras reservadas de C++ y C. Una palabra reservada no puede declararse como un identificador, esto haría un conflicto entre conectores y funciones. Palabras reservadas de C++ y C Una palabra reservada no puede declararse como un identificador, esto haría un conflicto entre conectores y funciones. A continuación se muestra el link del listado de palabras

Más detalles

LABORATORIO DE ELECTRÓNICA DE POTENCIA PRÁCTICA N 3

LABORATORIO DE ELECTRÓNICA DE POTENCIA PRÁCTICA N 3 ESCUELA POLITÉCNICA NACIONAL Campus Politécnico "J. Rubén Orellana R." FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Control Carrera de Ingeniería Eléctrica LABORATORIO

Más detalles

Práctica No. 3 del Curso "Microcontroladores" Contador de Objetos

Práctica No. 3 del Curso Microcontroladores Contador de Objetos Objetivos Práctica No. 3 del Curso "Microcontroladores" Contador de Objetos Hacer un sistema con base en el MSP430 que cuente los objetos que pasan con base en un detector infrarrojo, cada que pasen 10

Más detalles

Examen escrito de Programación 1

Examen escrito de Programación 1 Examen escrito de Programación 1 Escuela de Ingeniería y Arquitectura Departamento de Informática e Ingeniería de Sistemas 6 de septiembre de 2016 Se debe disponer sobre la mesa en lugar visible un documento

Más detalles

PROBLEMA 1 (5 puntos):

PROBLEMA 1 (5 puntos): PROBLEMA 1 (5 puntos): Se ha de diseñar un sistema de control y actuación en emergencias que cuenta con los siguientes dispositivos: Un detector de temperatura entre -15 y +70 grados, que proporciona a

Más detalles

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA ELO312 Laboratorio de Estructuras de Computadores

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA ELO312 Laboratorio de Estructuras de Computadores Comunicación serial en microcontroladores. Objetivos. Conocer los fundamentos y usar una comunicación serial asincrónica. Programar los registros que determinan la comunicación serial. Conocer las rutinas

Más detalles

Prototipo 1: Seguidor de Línea. QRD1114 (REFLECTIVE OBJECT SENSOR). Descripción:

Prototipo 1: Seguidor de Línea. QRD1114 (REFLECTIVE OBJECT SENSOR). Descripción: Prototipo 1: Seguidor de Línea. QRD1114 (REFLECTIVE OBJECT SENSOR). Descripción: El QRD1114, es un sensor óptico reflectivo el cual contiene un LED emisor infrarrojo y un fototransistor receptor, con cuatro

Más detalles

CIRCUITOS INTEGRADOS DE PUERTAS LÓGICAS

CIRCUITOS INTEGRADOS DE PUERTAS LÓGICAS CIRCUITOS INTEGRADOS DE PUERTAS LÓGICAS CIRCUITOS COMBINACIONALES INTEGRADOS CIRCUITOS INTEGRADOS SECUENCIALES: FLIP-FLOPS, REGISTROS Y CONTADORES CONSEJOS PARA LA ELABORACIÓN DE DIAGRAMAS LÓGICOS DE CIRCUITOS

Más detalles

Universidad Nacional de San Juan

Universidad Nacional de San Juan Universidad Nacional de San Juan Facultad de Ingeniería Asignatura: Aplicaciones Industriales de ultrasonido Trabajo Final: Sistema de desarrollo para ultrasonido Alumnos: Gabriel, Cañadas Carlos, Dell

Más detalles

Laboratorio 6: Teclado Matricial y Comunicación Serial Asincrónica

Laboratorio 6: Teclado Matricial y Comunicación Serial Asincrónica Laboratorio 6: Teclado Matricial y Comunicación Serial Asincrónica Objetivos. Experimentar con la conexión de dispositivos externos al microcontrolador. Aprender a adquirir datos desde un teclado matricial.

Más detalles

Examen 22 de Febrero 2006

Examen 22 de Febrero 2006 Problema 1 Examen 22 de Febrero 2006 La dirección de marketing de una conocida empresa de desodorantes ha decidido entregar un regalo con cada desodorante en spray. Para ello ha sido encargada la construcción

Más detalles

Práctica 2: Montaje de un circuito combinacional usando puertas lógicas

Práctica 2: Montaje de un circuito combinacional usando puertas lógicas Práctica 2: Montaje de un circuito combinacional usando puertas lógicas El objetivo de esta práctica es tomar contacto con el puesto de laboratorio y aprender a realizar la fase de montaje de un circuito

Más detalles

CURSO: MICROCONTROLADORES UNIDAD 4: COMUNICACIÓN SERIE- ARDUINO PROFESOR: JORGE ANTONIO POLANÍA

CURSO: MICROCONTROLADORES UNIDAD 4: COMUNICACIÓN SERIE- ARDUINO PROFESOR: JORGE ANTONIO POLANÍA CURSO: MICROCONTROLADORES UNIDAD 4: COMUNICACIÓN SERIE- ARDUINO PROFESOR: JORGE ANTONIO POLANÍA Un receptor asíncrono / transmisor universal (UART) es un bloque de circuitería responsable de implementar

Más detalles

Buceando en el HC908...

Buceando en el HC908... COMENTARIO TÉCNICO Buceando en el HC908... Por Ing. Daniel Di Lella Dedicated Field Application Engineer www.edudevices.com.ar dilella@arnet.com.ar Como implementar un control remoto por infrarrojo en

Más detalles

INSTITUTO TECNOLÓGICO METROPOLITANO ITM GUÍA DE LABORATORIO INSTRUMENTACIÓN ELECTRÓNICA INTERFAZ ARDUINO - LABVIEW

INSTITUTO TECNOLÓGICO METROPOLITANO ITM GUÍA DE LABORATORIO INSTRUMENTACIÓN ELECTRÓNICA INTERFAZ ARDUINO - LABVIEW OBJETIVOS: Establecer la conexión entre ARDUINO y LABVIEW para realizar la lectura de un dato analógico y visualizarlo en forma de indicador. Usar una placa de ARDUINO como tarjeta de adquisición de datos

Más detalles

Se trata de generar una señal PWM que salga por PB7, el cual está vinculado al canal 2 del TIM4. Por lo tanto la configuración será como sigue:

Se trata de generar una señal PWM que salga por PB7, el cual está vinculado al canal 2 del TIM4. Por lo tanto la configuración será como sigue: No se permiten ni libros, ni apuntes, ni calculadoras programables. Sólo se permite el manual del microcontrolador Se contestará sólo en el espacio reservado al efecto, pudiendo utilizar la cara posterior

Más detalles

Descripción del proyecto

Descripción del proyecto Descripción del proyecto Circuito: En este proyecto se desarrolló una baquelita de fibra que conforma el cuerpo del carro velocista, en esta baquelita se encuentran las pistas que unen los diversos periféricos.

Más detalles

República Bolivariana de Venezuela Aldea Universitaria Liceo Fray Pedro de Agreda Trayecto II Desarrollo de Software

República Bolivariana de Venezuela Aldea Universitaria Liceo Fray Pedro de Agreda Trayecto II Desarrollo de Software República Bolivariana de Venezuela Aldea Universitaria Liceo Fray Pedro de Agreda Trayecto II Desarrollo de Software Prof. Elías Cisneros Introducción a lenguaje C++ Parte IV Contenido 1.Introducción a

Más detalles

SIMULACIÓN CON PROTEUS

SIMULACIÓN CON PROTEUS UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES LABORATORIO 2: PROTEUS 1. OBJETIVOS SIMULACIÓN CON PROTEUS Introducir al estudiante en

Más detalles

TUTORIAL III V2 (PaP)

TUTORIAL III V2 (PaP) 1 TUTORIAL III V2 (PaP) OBJETIVOS Conocer el manejo de los motores paso a paso. Reforzar conceptos sobre el manejo de puertos I/O. Realizar lecturas de puertos y toma de decisiones basadas en estas. INTRODUCCIÓN

Más detalles

Módulo Bluetooth basado en el RN41 de Roving Network

Módulo Bluetooth basado en el RN41 de Roving Network Módulo Bluetooth basado en el RN41 de Roving Network 1. Descripción del producto Este módulo de desarrollo Bluetooth, basado en el RN41 de Roving Network, es un perfecto aliado para eliminar los cables

Más detalles

Prof: Zulay Franco Puerto Ordaz, Agosto

Prof: Zulay Franco Puerto Ordaz, Agosto Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar, en binario, el número de pulsos que llegan a su entrada de reloj.

Más detalles

COMUNICACIÓN I2C (Bus de circuito inter-integrado)

COMUNICACIÓN I2C (Bus de circuito inter-integrado) Aplicar los conocimientos básicos de electrónica de manera teórica (lenguaje ANSI-C) y práctica (montaje de circuitos). Conocer las funciones que gobiernan las entradas y salidas del microcontrolador PIC18F47J53.

Más detalles

Diseño del sistema de audio virtual

Diseño del sistema de audio virtual Capítulo 2 Diseño del sistema de audio virtual El objetivo del diseño es el de crear un sistema de audio 3D que genere sonidos virtuales en audífonos. Estos sonidos tienen que ser procesados en dos canales

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales 1. Realizar un programa en ensamblador para que parpadee el bit P1.0, utilizando un bucle para realizar el retardo. ;************************************************************* ; Plantilla que se crea

Más detalles

PIC 16F87X. Juan González. Escuela Politécnica Superior Universidad Autónoma de Madrid. Flir Networked Systems. Flir Networked Systems

PIC 16F87X. Juan González. Escuela Politécnica Superior Universidad Autónoma de Madrid. Flir Networked Systems. Flir Networked Systems PIC 16F87X Juan González Andrés Prieto-Moreno Ricardo Gómez Escuela Politécnica Superior Universidad Autónoma de Madrid Flir Networked Systems Flir Networked Systems Curso de microcontroladores PIC. Semana

Más detalles

Lenguaje de Programación: C++ Repaso de Material C++

Lenguaje de Programación: C++ Repaso de Material C++ UG Lenguaje de Programación: C++ Repaso de Material C++ Universidad de Guanajuato Octubre 2010 Variables Tipos de Variables Operadores Variable Para poder leer algo, necesitamos un lugar donde poner lo

Más detalles

Capítulo 6 Pruebas y Resultados

Capítulo 6 Pruebas y Resultados Capítulo 6-64 - 6.1 Pruebas con cámara CCD. Se construyó una base provisional con el objetivo de mantener constante las condiciones del ambiente luminoso en el que se realizarían las pruebas. Figura 6.1

Más detalles

DATA LOGGER DE TEMPERATURA H Manual del usuario

DATA LOGGER DE TEMPERATURA H Manual del usuario Fecha edición 03/2014 N Versión 01 DATA LOGGER DE TEMPERATURA H4036322 Manual del usuario CARACTERÍSTICAS Sensor de registro de datos de temperatura con sonda de termistor Display integrado y capacidad

Más detalles

En capítulos anteriores se ha descrito el procedimiento llevado acabo para el

En capítulos anteriores se ha descrito el procedimiento llevado acabo para el CAPITULO 4 DISEÑO Y PROGRAMACION DEL SISTEMA DE PROCESAMIENTO En capítulos anteriores se ha descrito el procedimiento llevado acabo para el diseño del elemento mecánico flexible, que se deformara al ser

Más detalles

Tutorial sobre Motores Paso a Paso (Stepper motors) Recopilado de Internet

Tutorial sobre Motores Paso a Paso (Stepper motors) Recopilado de Internet Prof: Bolaños D. Tutorial sobre Motores Paso a Paso (Stepper motors) Recopilado de Internet Los motores paso a paso son ideales para la construcción de mecanismos en donde se requieren movimientos muy

Más detalles

La mayor parte de las implementaciones de transmisión de datos vía puerto serial utilizan las siguientes funciones:

La mayor parte de las implementaciones de transmisión de datos vía puerto serial utilizan las siguientes funciones: Interfaz serial con buffer circular para MSP430 La mayor parte de las implementaciones de transmisión de datos vía puerto serial utilizan las siguientes funciones: Transmisión void USART_SendData (unsigned

Más detalles

8 Bits para temperatura y 8 Bits para humedad

8 Bits para temperatura y 8 Bits para humedad Sensor de humedad y temperatura. Número de parte: IM120710021 Descripción: El sensor de humedad y temperatura, es compatible con interfaces de 2.54mm, así como interfaces Grove. Cuenta con perforaciones

Más detalles

U.N.S.J F ACULTAD DE I NGENIERÍA

U.N.S.J F ACULTAD DE I NGENIERÍA U.N.S.J F ACULTAD DE I NGENIERÍA COMPLEMENTOS DE INFORMÁTICA DETECTOR DE DATOS DIGITALES, ANALOGICOS Y TEMPERATURA. GRILLO, BRUNO REG: 23001 MASIERO, RENZO R EG:24228 30 DE OCTUBRE 2014 Especificaciones

Más detalles

AR 9 T. Tutorial 9: Display LCD

AR 9 T. Tutorial 9: Display LCD 9 T Tutorial 9: Display LCD En este tutorial, se va a mostrar cómo conectar una pantalla LCD 1602A a una placa Arduino UNO para mostrar texto por pantalla. Material 1 Arduino uno 1 cable AB 1 Protoboard

Más detalles

Examen escrito de Programación 1

Examen escrito de Programación 1 Examen escrito de Programación 1 Escuela de Ingeniería y Arquitectura Departamento de Informática e Ingeniería de Sistemas 7 de septiembre de 2017 Se debe disponer sobre la mesa en lugar visible un documento

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

Una vez comprendido el funcionamiento teórico del dispositivo SRF04 el

Una vez comprendido el funcionamiento teórico del dispositivo SRF04 el CAPÍTULO 4 Obtención Electrónica De La Distancia 4.1. Análisis y planteamiento del problema. Una vez comprendido el funcionamiento teórico del dispositivo SRF04 el planteamiento principal ahora se divide

Más detalles

Guía rápida para gestionar el puerto paralelo del PC

Guía rápida para gestionar el puerto paralelo del PC Guía rápida para gestionar el puerto paralelo del PC Descarga desde: http://eii.unex.es/profesores/jisuarez/descargas/ip/guia_rapida_pp.pdf José Ignacio Suárez Marcelo Universidad de Extremadura Escuela

Más detalles

MÀSTER UNIVERSITARI EN ENGINYERIA AERONÀUTICA SISTEMES DE RADIOFREQÜÈNCIA I COMUNICACIÓ LÍNEAS DE TRANSMISIÓN

MÀSTER UNIVERSITARI EN ENGINYERIA AERONÀUTICA SISTEMES DE RADIOFREQÜÈNCIA I COMUNICACIÓ LÍNEAS DE TRANSMISIÓN Miembros grupo: Lluís Amat Olóndriz, Valentín Valhondo Pascual Instrumental y equipos necesarios 1 generador de funciones 1 osciloscopio 1 T BNC 3 cables coaxiales: 1 corto y otro largo (12 m), ambos RG-58

Más detalles

Introducción a los Computadores ITTELSI. Curso

Introducción a los Computadores ITTELSI. Curso Introducción a los Computadores ITTELSI. Curso 2004-2005 PRÁCTICA 2: ESTRUCTURAS DE CONTROL Y SUBPROGRAMAS (I) Hoy vamos a practicar más conceptos sencillos del lenguaje, que nos permitan decidir qué sentencias

Más detalles

partir de los 5 Volts que entrega el puerto USB La alimentación puede ser

partir de los 5 Volts que entrega el puerto USB La alimentación puede ser Introducción: La tarjeta de desarrollo de Intesc μvva PRO (Miuva PRO), ha sido diseñada para satisfacer las necesidades tanto básicas como avanzadas de estudiantes y/o profesionistas que trabajan con microcontroladores

Más detalles

Elementos constituyentes de la ejecución de un programa

Elementos constituyentes de la ejecución de un programa Micro-Operaciones En la ejecución de un programa en una computadora, se ejecutan instrucciones, que pueden subdividirse en ciclos: Búsqueda/Ejecución Cada ciclo se compone a su vez de una serie de operaciones

Más detalles

PRÁCTICA 4: Entorno de desarrollo EasyPIC4

PRÁCTICA 4: Entorno de desarrollo EasyPIC4 SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS PRACTICAS DE MICROCONTROLADORES PIC PRÁCTICA 4: Entorno de desarrollo EasyPIC4 Hardware de EasyPIC4 Software de grabación de EasyPIC4 Ejemplos y ejercicios Anexos -

Más detalles