GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE

Documentos relacionados
CREAR PROYECTO EN ISE v9.2 DE XILINX

El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos.

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I.

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Pasos para conectar la CPLD al cable JTAG USB

Lab 2: Sumador/Restador en System Generator

Modulo de desarrollo. Spartan 3 Starter Kit

Conexión de Sistemas en Red

MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11

Diseño de Hardware con VHDL

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Tutorial. Captura de esquemas y simulación lógica con la herramienta ISE

Creación/Simulación de un proyecto con ModelSim

Guía de instalación para el sistema Administrador 2000 CS versión 5.0 Monousuario

Guía de uso básico de Qt

Dispositivos de Memoria

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E

Guía rápida para la creación de reglas para la etiqueta *Posible SPAM* en Microsoft Outlook.

UNIVERSIDAD DE ANTIOQUIA DEPARTAMENTO INGENIERÍA ELECTRÓNICA AUTOMATIZACIÓN CON PLC

UNIVERSIDAD TÉCNICA FEDERICO SANTA MARÍA SEDE VIÑA DEL MAR, JOSÉ MIGUEL CARRERA Técnico Universitario en Electrónica

I. Conexión RII-UG. A continuación, se describen los pasos para conectarse a la red inalámbrica RII-UG en equipos Windows 10.

V 1.0. Ing. Juan C. Guarnizo B.

GUÍA DE INSTALACIÓN Y CONFIGURACIÓN DE COMPASS 2.0

GUÍA DE PROGRAMACIÓN DEL PTC-08

Edición, Simulación y Síntesis con isplever

Guía Respaldos. Para comenzar a realizar nuestro respaldo, damos doble clic en el icono Respaldos que se encuentra en el escritorio.

placas de prototipado (i) Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas

Práctica de laboratorio: Compartir recursos en Windows

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

GUÍA DE AYUDA No. 679

MANUAL DE SOFTWARE ATTENDANCE MANAGEMENT

1- DESCARGA DEL SOFTWARE

Manual de Instalación de Xandros Server

DUAL) DE MANERA MANUAL"

IZArc Qué es IZArc? Dirección de Servicios Telemáticos. Universidad Simón Bolívar /03/2008

INSTALAR DISCO.VHD DE VIRTUAL PC EN HYPER-V

GUÍA DE AYUDA No DUAL)"

Guía de instalación Modo Servidor + Estación de trabajo

MANUAL CORREO ELECTRÓNICO

Introducción. Puerto COM

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3

Manual del usuario de SnapMusic

FIRMA DIGITAL DNIe. OBTENCIÓN DEL CERTIFICADO DE FIRMA DIGITAL

Configuración de Tiempo y Asistencia (Time Attendance) en Clever Access

Creado por Ing. Dionisio Sosa Salazar. Manual para Conexión. Internet

Laboratorio 4. Objetivos

Diseño de Hardware con VHDL

Guía rápida de instalación dispositivos ANVIZ

CIRCUITOS COMBINACIONALES CON isplever

Oficina de Servicios Tecnológico de Información y Comunicaciones. Manual de Autodesk Académico

Guía de instalación del sistema contafiscal nuevo red internet

01.1 Instalación de Android Studio

Instructivo: Instalación del Lector de Tarjetas Inteligentes

INSTALACIÓN DE SISTEMA BORDERADMIN

Importación de una empresa al Administrador.

Importación de una empresa al Administrador.

MANUAL WinPoET 1/31. MANUAL WinPoET

Configuración de Dispositivo BELKIN como Antena

Magellan Content Manager 2.0

Qué es Microsoft Word?... 2 Cómo ingresar a Microsoft Word?... 2 Cómo salir de Microsoft Word?... 4

UNIVERSIDAD TÉCNICA FEDERICO SANTA MARÍA SEDE VIÑA DEL MAR, JOSÉ MIGUEL CARRERA Técnico Universitario en Electrónica

UNIVERSIDAD TECNOLÓGICA DEL VALLE DE TOLUCA

Fig. 1.1 Panel AC225 agregado al Software AxtraxNG

CAMARA IP I1055P CAMARA IP I1055P

Manual Cámara IP I1158A

Microsoft Outlook 2003, 2007.

533-G-56 Directorio Global Nueva Banca Digital Guía de Ayuda

Manual. Cámara IP I1157AW CÁMARA IP I1157AW

Laboratorio 01: Generación del Hola Mundo Android

FAQ INSTALACIÓN AUTOMÁTICA

MANUAL CONFIGURACIÓN P2P HICLOUDCAM GVS SECURITY

CAMARA IP I1157AW CAMARA IP I1157AW

Respaldo en Línea Guía y Manual de Usuario Final

Aprovechamiento pedagógico de Ardora

Manual para el uso del software Floppy Emulator (sistemas operativos compatibles Windows Vista, 7 y 8)

COLEGIO DE BACHILLERES DEL ESTADO DE CHIHUAHUA DIRECCIÓN ADMINISTRATIVA SUBDIRECCIÓN DE TECNOLOGÍAS DE INFORMACIÓN Y COMUNICACIONES

Desarrollo y Construcción de Prototipos Electrónicos

Conexión de 2 PC s mediante cable cruzado

INSTALACION DEL SISTEMA CONTAFISCAL FIREBIRD RED

INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

1. Instalar el componente en el sitio por Extensiones gestor de extensiones.

Como montar una Red Inalámbrica Ad-Hoc

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

REEA. Conexión de un S con WinCC RT Advanced V.12

Lab 1: Representación de señales en System Generator

Manual Cámara IP I4620BP

Tutorial de Xilinx ISE

INSTRUCCIONES DE INSTALACIÓN LEANDTV02

COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02)

Transcripción:

LABORATORIO1 INTRODUCCION AL USO DE LA HERRAMIENTA DE SINTESIS Y SIMULACION ISE_9.2 INTRODUCCION El curso de Diseño avanzado de Hardware, presenta al estudiante diferentes técnicas y herramientas que le permitirán al mismo profundizar en el campo de la microelectrónica y el control digital. Una de estas herramientas son las FPGAs (Field Programable Gate Array), las cuales mediante el uso de un leguaje de descripción de hardware o HDL permiten describir una amplia gama de circuitos; para lograr esto, cada fabricante de FPGAs hace uso de un software de síntesis, implementación y simulación, el cual hace uso de las librerías del fabricante para simplificar, simular el funcionamiento e implementar los circuitos descritos por el diseñador. En este curso se hará uso del kit de desarrollo SPARTAN 3A 3AN que implementa un chip de Xilinx y cuyo software de implementación es el ISE 9.2. Esta guía le presentara los pasos necesarios para llevar a cabo la creación de un proyecto, la descripción de un módulo de Verilog, la interconexión de los pines del chip con la circuitería descrita package pins, la generación de un archivo.bit de configuración del dispositivo, y la carga del mismo al kit de desarrollo. HERRAMIENTAS NECESARIAS Kit de desarrollo SPARTAN 3A 3AN Adaptador de 5v del kit Cable USB de configuración del kit PC con el software ISE 9.2 OBJETIVOS Identificar los pasos necesarios para la creación e implementación de un proyecto en el Kit de desarrollo SPARTAN 3A 3AN, haciendo uso de la herramienta ISE 9.2 y el HDL Verilog. PROCEDIMIENTO 1. Ubique en el escritorio el icono de la herramienta ISE y ábrala.

2. La ventana emergente es un consejo del día, de clic en ok y continue. 3. Para crear un nuevo proyecto ubique el menú file y seleccione new Project. 4. En la ventana emergente se ingresa el nombre del proyecto en la casilla ubicada en la parte superior izquierda, será creada una carpeta con este nombre en la dirección indicada en la ruta, una vez especificado esto de clic en next.

5. En esta ventana se deben seleccionar las características del chip que se desea configurar, además verifique que en lenguaje preferido este seleccionado Verilog, una vez configurado esto, de clic en next. 6. En esta ventana se da clic en new source para agregar un nuevo módulo de Verilog, en la ventana emergente se debe seleccionar una fuente del tipo Verilog module, e ingresar el nombre que desea darle al módulo, una vez hecho esto se da clic en next.

7. En esta ventana se configuran las entradas y salidas del módulo que se están describiendo; para este laboratorio se van a usar los leds y los siwtches, como se puede observar se selecciona el tipo de dato y el check box es para cuando la entrada o salida es de más de un bit, de lo contrario este no se selecciona, una vez configurados estos parámetros se da clic en next, después en finish y después en yes, volviendo así a la ventana del numeral 6 donde se agregan los sources. 8. Una vez en la ventana del numeral 6, damos clic en next hasta finalizar la creación de proyecto. En los pasos anteriores creamos el proyecto y agregamos un nuevo módulo al mismo y vamos a tener un área de trabajo como se muestra a continuación.

9. En el numeral 1 se encuentran las fuentes agregadas al proyecto, mientras en sources for este seleccionado synthesis/implementation, las fuentes que aparecerán serán los módulos o circuitos asociados al proyecto, si esta seleccionado behavioral simulation, aparecerán los sources equivalentes a los impulsos de simulación, o test bench asociados a los módulos para verificar su funcionamiento. 10. En el numeral 2 podemos encontrar ventanas de reportes, o el área de trabajo del archivo.v en el cual se describe el código, esto dependiendo de lo que este seleccionado en las pestañas inferiores. En el numeral 3 se encuentran los procesos permitidos o asociados, a la source seleccionada en el numeral 1. En el numeral 4 se encuentran los reportes de consola, errores y advertencias, dependiendo de la pestaña que este seleccionada en su parte inferior. 11. Seleccionamos la pestaña del archivo.v y describimos el circuito deseado, para este ejemplo usaremos el circuito descrito a continuación. 12. El siguiente paso es verificar que el circuito que se está describiendo sea correcto, para esto de da doble clic en la opción synthesize en la ventada de proceso, para esto debe estar seleccionado el modulo en la ventana sources.

13. Una vez verificado el código descrito se procede al proceso de simulación para verificar el correcto funcionamiento, para esto se da clic derecho sobre el archivo.v en la ventana de sources y se selecciona la opción add new source, se selecciona una source del tipo Test Bench Wave Form, se le da un nombre y clic en next. 14. En la siguiente ventana se selecciona el source al cual se quiere asociar este impulso de simulación, y se da clic en next y después en finish obteniendo una ventana como la que se ve a continuación; En esta ventana se configura las características de la simulación, para este caso al ser un circuito combinacional, solo seleccionaremos el tiempo inicial de la simulación y damos clic en finish.

15. En este punto aparecerá una ventana que muestra las señales de entrada y de salida del circuito, las señales de entrada pueden ser modificadas según el criterio del diseñador para simular el funcionamiento del circuito, el cambio en las salidas se notara una vez que se corra la simulación. 16. Una vez modificadas las entradas según el criterio del diseñador se selecciona en el campo de sources for, la opción behavioral simulation y en la ventana de sources seleccionamos el test bench que creamos, y en la ventana de proceso damos doble clic en la opción simulate behavioral model.

17. Esta última ventana generada, permite al diseñador ver el comportamiento de las salidas del circuito respecto a las entradas del mismo, de una forma ideal. Una vez el diseñador este seguro del funcionamiento de su circuito a nivel de simulación, se procede a conectar las entradas y salidas del módulo, con los pines físicos del integrado, para esto estando seleccionado souurces for synthesis / implemantation, y el source que se está trabajando, se busca la opción de constraints en la ventana de procesos y se da doble clic en assing package pins.

18. Como se puede observar en la ventana de proceso aparecen las entradas y salidas del circuito, en este lugar vamos a modificar las casillas de la opción LOC y la opción IOSTANDARD, como se observa en la figura anterior, la ubicación de los pines puede ser encontrada en el datasheet UG334 el cual es el Spartan-3A/3AN Starter Kit Board User Guide. 19. Una vez descritos los pines de conexión volveos a la ventana de proceso y damos doble clic en la opción de Implement, con la cual se genera la traducción, mapeo, ubicación y rutas del proyecto en cuestión. 20. El último paso es generar el archivo.bit que se cargara a la tarjeta dando doble clic en la opción Generate Programming File, este quedara guardado en la carpeta del proyecto definida previamente. 21. Para cargar el archivo.bit se busca la herramienta IMPACT en los programas de su pc y se enciende y conecta la tarjerta de desarrollo.

22. En la ventana emergente se selecciona new Project y se da clic en next. 23. En la siguiente ventana emergente se verifica que este seleccionada la opción que se muestra y se da clic en finish. 24. El software reconoce automáticamente el dispositivo y abre una ventana emergente para seleccionar el archivo de configuración.bit que se desea cargar en la tarjeta, busque este archivo en el directorio de su proyecto y de clic en open. Como se puede observar en la gráfica anterior se debe verificar que este seleccionado el primer dispositivo que corresponde a la FPGA presente en la tarjeta de desarrollo, el segundo dispositivo corresponde a una CPLD la cual no vamos a utilizar en este curso motivo por el cual a la siguiente ventana emergente le damos Bypass.

25. El siguiente paso es dar clic derecho sobre el icono correspondiente a la FPGA y seleccionar la opción Program y después se da OK en la ventana emergente. En este momento usted ha cargado el primer proyecto en la tarjeta de desarrollo y puede verificar su funcionamiento en la misma.