SENTENCIAS SECUENCIALES Y CONCURRENTES DE VHDL

Tamaño: px
Comenzar la demostración a partir de la página:

Download "SENTENCIAS SECUENCIALES Y CONCURRENTES DE VHDL"

Transcripción

1 SENTENCIAS SECUENCIALES Y CONCURRENTES DE VHDL

2 SENTENCIA IF sentencia_if::= [etiqueta:] if condicion(boolean) then {sentencias_secuenciales} {elsif condicion then {sentencias_secuenciales}} [else {sentencias_secuenciales}] end if [etiqueta];

3 SENTENCIA IF El orden en el que se sitúan las condiciones dentro del ifelsif es importante, pues si hay dos ciertas, se ejecutará únicamente la primera.

4 SENTENCIA CASE sentencia_case::= [etiqueta:] case expresion is when valor=>{sentencias_secuenciales} {...} end case [etiqueta]; valor ::=(expresion_simple rango_discreto others){...} Los valores de elección no pueden solapar sus rangos. Los valores de elección deben cubrir todos los valores posibles de expresion

5 SENTENCIA CASE

6 SENTENCIA CASE

7 SENTENCIA CASE

8 BUCLES sentencia_loop::= [etiqueta:] [while condicion for id in rango_discreto] loop {sentencias_secuenciales} end loop [etiqueta];

9 BUCLES

10 BUCLES

11 BUCLES

12 BUCLES La sentencia next se utiliza en un bucle para detener una ejecución y pasar a la siguiente iteración: sentencia_next::= [etiqueta:]next [etiqueta_loop][when condicion];

13 BUCLES La sentencia exit se utiliza para salir de un bucle de forma incondicional. sentencia_exit::= [etiqueta:]exit [etiqueta_loop][when condicion]; Sentencia NULL sentencia_null::= [etiqueta:] null : Una sentencia null no efectúa ninguna acción. En algunas ocasiones la sintaxis del lenguaje exige la poner una sentencia

14 Sentencia ASSERT Proporciona mensajes si no se cumple una condición en tiempo de ejecución. Se utiliza en la depuración de modelos. Puede llegar a detener la ejecución de una simulación sentecia_assert::= [etiqueta:] assert condicion [report expresion] [severity expresion]; type severity_level is (note,warning,error,failure);

15 Asignación secuencial de señales declaracion_señal::= signal identificador{,...}:subtipo[tipo_señal][:=expresion ]; tipo_señal::= register bus asignacion_señal ::= [label]identificador<=[mecanismo_retardo]forma_de_onda; forma_de_onda::=(expresion [after exp_tmp]){,...} mecanismo_retardo::= transport [reject exp_tmp]inertial signal net1,net2: bit; signal enable:integer:=0; reloj<= 1 after t_pw, 0 after 2*t_pw; -- t_pw y 2*t_pw tienen la misma referencia temporal: -- el tiempo de simulación actual. y<= not or_a_b after 5ns; salida<= b 0010 ; -- retardo delta

16 Asignación secuencial de señales Las señales pueden cambiar de valor en cualquier momento, pero a diferencia de las variables guardan una analogía con el Hw. Se pueden considerar una abstracción de conexiones físicas o buses. Cosideraremos: Transacción: par nuevo valor-tiempo en que se aplica Evento: transacción que provoca un cambio de valor en una señal Los procesos se ejecutan como respuesta a eventos en las señales. Cada señal tiene asociado un driver en el que se almacenan pares valortiempo como una secuencia de transacciones. Cuando se hace una asignación a una señal no se modifica el contenido de la misma, si no el de su cola de eventos. Se indica el futuro valor de la señal para un tiempo determinado, aunque esa asignación puede llegar a no producirse.

17 Asignación secuencial de señales Retardo Delta: en algunas ocasiones la asignación de señales se produce con un retardo de 0 fs (delta delay), por ejemplo salida<= b 0010 ; El ciclo de simulación tiene dos fases: Actualización de señales: se produce cuando el tiempo de simulación avanza hasta una transacción programada. Ejecución de procesos: si en la fase anterior se producen eventos los procesos implicados en ellos se ejecutan. Cuando todos los procesos se suspenden, el simulador procesa las señales que tienen retardo delta, produciéndose una actualización como la descrita anteriormente pero sin que cambie el paso de simulación. Y así sucesivamente hasta que no hayan más eventos. Los modelos con retardos delta implican alto nivel de abstracción

18 Asignación secuencial de señales INICIO SIMULACIÓN Lista de eventos Actualizar señales Todas las señales actualizadas δ Procesos suspendidos: Avance del tiempo de simulación hasta próximo evento programado Ejecutar procesos No más eventos Fin tiempo simulación FINAL SIMULACIÓN

19 Asignación secuencial de señales (modelos de retardos) mecanismo_retardo::= transport [reject exp_tmp]inertial El modelo transport describe el comportamiento de un dispositivo ideal, en el que cualquier pulso de entrada independientemente de su duración provoca una salida: respuesta en frecuencia infinita. El modelo inertial describe circuitos reales en los que una señal de corta duración puede no provocar una salida en el dispositivo. La anchura de pulso mínima se especifica mediante reject y en su ausencia por after. Si no se indica nada en la asignación de un señal el modelo de retardo utilizado es inertial Cuando en una misma asignación se especifican varias transacciones a una señal, el mecanismo de retardo solo se aplica a la primera, siendo el del resto del tipo transport.

20 Asignación secuencial de señales (modelos de retardos) 18ns 5ns A B C 10ns 10ns A 1ns B 10ns

21 Asignación secuencial de señales (modelos de retardos) Modelo de transporte: Las nuevas asignaciones eliminan las asignaciones posteriores del driver: Se añaden al final del driver Valor Actual 1 Valor Actual 1 X Tiempo T T+10 Tiempo T T+10 T+25 Valor Actual 1 0 X Valor Actual 1 0 Tiempo T T+10 T+20 T+25 Tiempo T T+10 T+20

22 Asignación secuencial de señales (modelos de retardos) Modelo inercial: Las nuevas asignaciones eliminan las asignaciones posteriores del driver: Se comprueban en las nuevas transacciones si se cumple la anchura de pulso mínima exigida (parámetro reject o after), eliminando las asignaciones que no lo hagan. Valor Actual 000 Valor Actual Tiempo T T+10 Tiempo T T+9 T+10 T+15-6 Valor Actual Valor Actual 010 Tiempo T T+12 T+15 Tiempo T T+12

23 Asignación secuencial de señales Otro ejemplo: (modelos de retardos) Valor actual 1 X Tiempo T T+11 T+12 T+14 T+15 T+16 T+17 T+20 T+25 Valor actual 1 X Tiempo T T+11 T+12 T+13 T+14 T+15 T+16 T+17 T+18 T+20 T+25-5 Valor actual 1 X Tiempo T T+11 T+12 T+16 T+17 T+18

24 Asignación secuencial de señales (Atributos) Para una señal S y un tiempo T se definen los siguientes atributos: S delayed[(t)] S stable[(t)] S quiet[(t)] S transaction S event Señal S retrasada T unidades de tiempo Señal booleana verdadera si no se han producido eventos en las T últimas unidades de tiempo. Señal booleana verdadera si no se ha producido ninguna asignación en las T ultimas unidades de tiempo. Señal tipo bit que cambia de 0 a 1 y viceversa cada vez que se produce una transacción en S. Verdadero si hay un evento en S en el actual ciclo de simulación, falso en cualquier otro caso. S active Verdadero si hay una transacción en S en el actual ciclo de simulación, falso en cualquier otro caso. S last_event Intervalo de tiempo desde el último evento en S. S last_active Intervalo de tiempo desde la última transacción en S. S last_value Valor de S antes del último evento.

25 SENTENCIA PROCESS Un proceso es una sentencia concurrente que define su comportamiento a través de sentencias secuenciales: Cualquier sentencia, secuencial o concurrente tiene su proceso equivalente: VHDL solo trabaja con procesos. sentencia_process::= [label:] process [(id_señal {, })] [is] {declaraciones} begin {sentencias secuenciales} end process [label]; Un proceso es un bucle infinito entre las sentencias begin y end process. La ejecución de un proceso se detiene (suspende) al ejecutar una sentencia wait. Un proceso despierta cuando se produce un evento en la relación de señales especificadas en su declaración o por las especificadas en a sentencia wait. Un proceso que no asigna valores a ninguna y señal y por tanto no puede despertar a otros procesos, se llama proceso pasivo.

26 SENTENCIA WAIT La sentencia wait indica en que punto se suspende la ejecución de un proceso. Al mismo tiempo indica las condiciones para su reactivación. sentencia_wait::= [etiqueta:] wait [on id_señal {,..}] [until exp_booleana] [for exp_temp]; wait on establece las señales a las que será sensible el proceso.

27 SENTENCIA WAIT

28 SENTENCIA WAIT

29 SENTENCIA WAIT

30 Asignación concurrente de señales Se utiliza para casos sencillos, en modelos funcionales comportamentales en los que la operación se describe como una simple asignación combinacional que transforma las entradas en salidas. Se declara en las arquitecturas en vez de en los procesos o subprogramas. Es sensible a las señales que se encuentran a la derecha del símbolo de asignación. asignacion_concurrente_señal::= [label:]identificador<=[mecanismo_retardo]forma_de_onda; Dato_0<= sel and dato_in after 20ns;

31 Asignación concurrente de señales Asignación concurrente condicional Asignacion_concurrente_condicional::= [etiqueta:]señal_id<=[mecanismo_retardo] {forma_de_onda when expr_booleana else} forma_de_onda [when expr_booleana]; Podemos sustituir forma_de_onda por la palabra clave unaffected si para alguna condición no deseamos cambiar el valor de la señal. Es sensible a las señales relacionadas en forma_de_onda y en expr_boolena.

32 Asignación concurrente de señales Asignación concurrente condicional

33 Asignación concurrente de señales Asignación concurrente con selección Asignacion_concurrente_seleccion::= [etiqueta:]with expr select señal_id<= [mecanismo_retardo] {forma_onda when valor,} forma_onda when valor; Podemos sustituir forma_de_onda por la palabra clave unaffected si para alguna condición no deseamos cambiar el valor de la señal. Es sensible a las señales relacionadas en forma_de_onda y en expr.

34 Asignación concurrente de señales Asignación concurrente con selección

35 Assert concurrente sentecia_assert::= [etiqueta:] assert condicion [report expresion] [severity expresion];

36 Entidades y procesos pasivos Declaracion_entidad::= entity <identificador> is [generic (lista_de_genéricos);] [port (lista_de_puertos);] {declaraciones} [begin {sentencias_assert_concurrentes llamadas_a_procesos_concurrentes_pasivos sentencias_de_procesos_pasivos}] end [entity] [identificador]; Cualquier sentencia concurrente incluida en la declaración de entidad debe ser pasiva, no puede afectar a ninguna señal.

37 Descripciones estructurales Una descripción estructural representa un sistema en términos de subsistemas interconectados mediante señales. Cada subsistema a su vez se puede representar mediante otros subsistemas más básicos interconectados por señales. Y así sucesivamente hasta llegar a los componentes básicos consistentes en primitivas descritas en términos de comportamiento. sentencia_instanciacion_componente::= etiqueta: entity id_entidad [(id_arquitectura)] [port map (lista_asocioacion_puertos)]; Se puede considerar que esta sentencia concurrente crea una copia de la entidad referenciada, a la que le asocia una de las posibles arquitecturas que puede tener definidas lista_asociacion_puertos::= ([nombre_puerto=>](señal_id expr open)){, } Permite asociar cada puerto de la entidad referenciada con una señal de la arquitectura donde se instancia. La cláusula open indica que un puerto no esta asociado a ninguna señal.

38 Descripciones estructurales Asociación posicional: cada señal listada en el mapa de puertos se conecta con el puerto de la misma posición en la declaración de entidad. Asociación por nombre: se indica explícitamente mediante el operador => la asociación entre los puertos.

39 SENTENCIA GENERATE sentencia_generate::= etiqueta: [for identificador in rango_discreto if expr_booleana] generate {[bloque_declaraciones} begin] {sentencias_concurrentes} --atencion end generate [etiqueta];

40 SENTENCIA GENERATE

Sesión 3: Modelo temporal: VHDL concurrente. S3 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 3: Modelo temporal: VHDL concurrente. S3 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 3: Modelo temporal: VHDL concurrente S3 1 Dominios secuencial y concurrente en VHDL ENTITY dispositivo IS PORT(pe1,...:IN tipoe; ps1,...:out tipos; pes1,...:inout tipoes END dispositivo ARCHITECTURE

Más detalles

PROCESS. Asignación de señal. BLOCK Llamadas a procedimientos Llamadas a funciones GENERATE Instanciación de componentes ASSERT WAIT

PROCESS. Asignación de señal. BLOCK Llamadas a procedimientos Llamadas a funciones GENERATE Instanciación de componentes ASSERT WAIT TECNOLOGÍA DE COMPUTADORES Tema 2 Descripción de VHDL (2/2) Agustín Álvarez Marquina Tipos de sentencias en VHDL Sentencias concurrentescu PROCESS Asignación ió de señal BLOCK Llamadas a procedimientos

Más detalles

Tema 2 Descripción de VHDL (2/2)

Tema 2 Descripción de VHDL (2/2) TECNOLOGÍA DE COMPUTADORES Tema 2 Descripción de VHDL (2/2) Agustín Álvarez Marquina Tipos de sentencias en VHDL Sentencias concurrentes PROCESS Asignación de señal BLOCK Llamadas a procedimientos Llamadas

Más detalles

Sentencias. Contenidos. Secuencia versus Concurrente Sentencias Secuenciales Sentencias Concurrentes Subprogramas. cr.uclm.

Sentencias. Contenidos. Secuencia versus Concurrente Sentencias Secuenciales Sentencias Concurrentes Subprogramas. cr.uclm. A C B D Sentencias! " # $ frincon@inf cr.uclm.es% & ' ( ) *+, + ) ' -. / 0 / 1 2 3 4 ) + - / 2 ' 0 5 0, ) 6-7 ) 4 ' * 2 ' / 8 9 : 2 ' 3 ; + *, - (arco.inf cr.uclm.es) < 9 * ' 0 * / - / / 1-0 + * 6 6 -

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos del diseño

Más detalles

VHDL. Laboratorio de Arquitectura de Computadores. Curso I. T. Informática de Gestión I. T. Informática de Sistemas

VHDL. Laboratorio de Arquitectura de Computadores. Curso I. T. Informática de Gestión I. T. Informática de Sistemas Laboratorio de Arquitectura de Computadores I. T. Informática de Gestión I. T. Informática de Sistemas Curso 2006-2007 Transparencia: 2 / 50 Índice 1. Conceptos básicos 2. Modelos de Hardware 3. Unidades

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 1: Introducción al lenguaje VHDL S1 1 AREAS DE APLICACION DE VHDL Síntesis i1 suma Documentación Diagnosis de Fallos i1 i2 - Circuito semisumador Componentes: puerta AND puerta OR puerta XOR......

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL es un lenguaje de descripción de hardware Es útil para la síntesis y verificación de circuitos digitales Historia

Más detalles

Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2 Definición de nuevos tipos de datos 4.3 Ejemplos de máquinas de estados 4.

Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2 Definición de nuevos tipos de datos 4.3 Ejemplos de máquinas de estados 4. Tema 4: Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2 Definición de nuevos tipos de datos 4.3 Ejemplos de máquinas de estados 4.4 Atributos Tema 4: Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2

Más detalles

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO ENTIDAD: ARQUITECTURA: ENTITY IS PORT : : END ; = IN / OUT / IN OUT ARCHITECTURE

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 ÍNDICE Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos

Más detalles

4.4. Métodos de diseño

4.4. Métodos de diseño 4.4. Métodos de diseño 4.4.1. Estilo estructural Es la descripción estructural en la que se descompone en los componentes del sistema y se indican sus interconexiones. Cada subcircuito se denomina component.

Más detalles

1. Lenguajes de descripción de hardware. VHDL

1. Lenguajes de descripción de hardware. VHDL 1. Lenguajes de descripción de hardware. VHDL 1.1. Lenguajes de descripción de hardware 1.2. VHDL 1.2.1. Presentación del lenguaje VHDL 1.2.2. Objetos, tipos de datos y operadores 1.2.3. Sentencias secuenciales

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 5 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1 y z2

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL 1.1. Introducción 1.2. Lenguajes para la descripción de hardware 1.3. Ciclo de diseño de los circuitos digitales 1.4. Tecnologías de circuitos integrados

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

Introducción a VHDL Circuitos Secuenciales

Introducción a VHDL Circuitos Secuenciales Introducción a VHDL Circuitos Secuenciales Sistemas Digitales (23357) Profesor: Carlos A. Fajardo (cafajar@uis.edu.co) Actualizado Agosto 2013 Proceso Un proceso en VHDL es una construcción que contiene

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Simulación de circuitos descritos en VHDL

Simulación de circuitos descritos en VHDL Simulación de circuitos descritos en VHDL Autores: Celia López Luis Entrena Mario García Enrique San Millán Marta Portela Almudena Lindoso 1 Indice 1 2 3 4 5 Validación funcional de circuitos digitales

Más detalles

El código concurrente está destinado para el diseño de circuitos combinacionales.

El código concurrente está destinado para el diseño de circuitos combinacionales. Código Secuencial Código Secuencial El código concurrente está destinado para el diseño de circuitos combinacionales. Por su parte, el código secuencial puede ser usado indistintamente para el diseño de

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017 Solución al Trabajo Práctico - Junio de 2017 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

Lenguaje de descripción de Hardware VHSIC

Lenguaje de descripción de Hardware VHSIC Lenguaje de descripción de Hardware VHSIC VHDL Laboratorio de diseño digital Ingeniería Electrónica 5/2/2006 1 VHDL: Antecedentes históricos Herramientas EDA Necesidad de intercambio de información Primera

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

Sesión 4: Sentencias concurrentes y paquetes. S4 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 4: Sentencias concurrentes y paquetes. S4 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 4: Sentencias concurrentes y paquetes S4 1 Sentencias Concurrentes La principal sentencia concurrente de VHDL es el proceso (process), que define los límites de un dominio secuencial. Las restantes

Más detalles

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción Lenguaje de descripción de dispositivos Hardware. Diferencias con lenguajes de programación:

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

4.3. Lenguaje VHDL Declaración de Packages

4.3. Lenguaje VHDL Declaración de Packages 4.3. Lenguaje VHDL El lenguaje VHDL (Very High Speed Integrates Circuit Hardware Description Language) es un lenguaje estándar utilizado para la descripción de los sistemas digitales. En este apartado

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

Tema 2 Descripción de VHDL (1/2)

Tema 2 Descripción de VHDL (1/2) TECNOLOGÍA DE COMPUTADORES Tema 2 Descripción de VHDL (1/2) Agustín Álvarez Marquina Elementos básicos de VHDL Elementos básicos Palabras reservadas. Identificadores. Tipos de objetos y datos. Literales.

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

PLANTILLA. [t], Maximino Peña Guerrero,

PLANTILLA. [t], Maximino Peña Guerrero, PLANTILLA Instrucciones secuenciales: CASE CASE ejecuta una o varias secuencias de instrucciones que dependen del valor de una sola expresión. SINTAXIS case expression is when choices => {sequential_statement}

Más detalles

Introducción a PL/SQL

Introducción a PL/SQL Introducción a PL/SQL Grupo de Ingeniería del Software y Bases de Datos Departamento de Lenguajes y Sistemas Informáticos Universidad de Sevilla noviembre 2011 Objetivos de este tema Conocer PL/SQL. PL/SQL

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana Solución al examen de Junio 2012, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,x4,x5 entre los instantes 0 y

Más detalles

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales.

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales. Código Concurrente Código Concurrente El código VHDL puede ser concurrente o secuencial. Únicamente las sentencias colocadas dentro de un PROCESS, FUNCTION o PROCEDURE (los últimos dos son llamados subprogramas)

Más detalles

VII. Múltiples Procesos en una Arquitectura. F. Santiago E.

VII. Múltiples Procesos en una Arquitectura. F. Santiago E. VII. Múltiples Procesos en una Arquitectura 1 Introducción El Mundo No es Secuencial. Todos los vehículos se mueven al mismo tiempo, es decir, concurrentemente. Cada vehículo es un sistema, se tienen sistemas

Más detalles

Sesión 6: Diseño Lógico con VHDL

Sesión 6: Diseño Lógico con VHDL Sesión 6: Diseño Lógico con VHDL S6 VHDL: de la tecnología a la arquitectura de computadores. José J. Ruz Ortiz, Síntesis 997 Estilos de descripción de un circuito lógico S6 2 VHDL: de la tecnología a

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

SISTEMAS DIGITALES VHDL

SISTEMAS DIGITALES VHDL SD SISTEMAS DIGITALES VHDL Fredy Hernán Riascos Campiño Practica 1a: Implementación de un incrementador: Se he de implementar un modulo incrementador (INC), Este modulo no es mas que un sumador y un registro

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

Ejemplo básico de descripción VHDL

Ejemplo básico de descripción VHDL Ejemplo básico de descripción VHDL Describir en VHDL un circuito que multiplexe dos líneas (a y b) de un bit, a una sola línea (salida) también de un bit; la señal selec sirve para indicar que a la salida

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

Practicas tuteladas VHDL (curso 04-05)

Practicas tuteladas VHDL (curso 04-05) Practicas tuteladas VHDL (curso 4-5) Tecnología de computadores. Prácticas de VHDL (Curso 3/4) PRÁCTICA-2: ATRIBUTOS DE SEÑALES ELEMENTOS DE MEMORIA Y REGISTROS OBJETIVOS: Utilizar el paquete de datos

Más detalles

Capítulo 2: LENGUAJES DE DESCRIPCIÓN DE HARDWARE: VHDL

Capítulo 2: LENGUAJES DE DESCRIPCIÓN DE HARDWARE: VHDL Capítulo 2: LENGUAJES DE DESCRIPCIÓN DE HARDWARE: VHDL Generalidades Ventajas e inconvenientes de los HDL s Características generales del VHDL Modelo del Hw Introducción al lenguaje VHDL Lenguajes de descripción

Más detalles

Introducción a las sentencias de control

Introducción a las sentencias de control INSTRUCCIONES DE CONTROL CONTENIDOS 1. Introducción a las sentencias de control. 2. Instrucciones o sentencias condicionales: IF, IF-ELSE, SWITCH. 3. Instrucciones o sentencias repetitivas o iterativas:

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS ENRIQUE MANDADO 1,2, YAGO MANDADO 3, GIRALDO VALDÉS 2,4 y LUIS M. MENÉNDEZ 2,5 1 Departamento

Más detalles

Práctica 6. Diseño Lógico Digital mediante VHDL

Práctica 6. Diseño Lógico Digital mediante VHDL Práctica 6. Diseño Lógico Digital mediante VHDL 6.1. Objetivos Aprender a diseñar circuitos lógicos digitales mediante el uso de lenguajes de descripción de hardware como VHDL. 6.2. Introducción al VHDL

Más detalles

Laboratorio de Arquitectura de Redes. Sentencias de control en lenguaje C

Laboratorio de Arquitectura de Redes. Sentencias de control en lenguaje C Laboratorio de Arquitectura de Redes Sentencias de control en lenguaje C Sentencias de control en lenguaje C Introducción Sentencia if-else Sentencia switch Sentencia while Sentencia do-while Sentencia

Más detalles

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera.

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Simulación avanzada con Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Desc. del Problema Descripción HDL Synthesis Place and Route / Fit 2 - Generalidades - Generación de Estímulos Agenda - Asignaciones

Más detalles

Practica 9 Estilos de codificar a estados FCE

Practica 9 Estilos de codificar a estados FCE Practica 9 Estilos de codificar a estados FCE2015-04-07 Parte 1. Diagramas de estado con VHDL Parte2. Cartas ASM con VHDL Introducción En el diseño de sistemas digitales, una parte importante es poder

Más detalles

Descripción en VHDL de arquitecturas para implementar el algoritmo CORDIC

Descripción en VHDL de arquitecturas para implementar el algoritmo CORDIC Anexo D Los bancos de prueba Para suministrar los patrones de prueba a cada descripción del algoritmo CORDIC, se describieron bancos de prueba. A modo de ejemplo se transcribe un banco de prueba para la

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

VIII. Jerarquías de diseño en VHDL

VIII. Jerarquías de diseño en VHDL VIII. Jerarquías de diseño en VHDL 1 Introducción La descripción funcional describe al sistema en términos de sus operaciones. La descripción estructural especifica cómo el sistema está hecho, cuales son

Más detalles

ABSTRACCIONES DE UN SISTEMA DIGITAL

ABSTRACCIONES DE UN SISTEMA DIGITAL ABSTRACCIONES DE UN SISTEMA DIGITAL T O P D O W N FUNCIONAL ARQUITECTURAL FÍSICO Algoritmos y funciones que indican la relación E/S Componentes funcionales interconectados que definen la arquitectura Materialización

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016 Solución al Trabajo Práctico - Junio de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

Sesión 5: Unidades de Diseño VHDL

Sesión 5: Unidades de Diseño VHDL Sesión 5: Unidades de Diseño VHDL S5 1 S5 2 Unidades de Diseño Las unidades de diseño son las construcciones VHDL que se pueden analizar y compilar de forma independiente. Se guardan en una biblioteca

Más detalles

Simulación con un banco de pruebas VHDL - test bench.

Simulación con un banco de pruebas VHDL - test bench. Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2 Diseño de un test bench 4.3 Ejemplos Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 2. Descripción del VHDL Curso 07/08 Departamento de Arquitectura y Tecnología de Sistemas Informáticos - Facultad

Más detalles

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones).

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). HDL: Estos lenguajes permitieron solucionar

Más detalles

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje VHDL Objetos, tipos de datos y operadores Unidades

Más detalles

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2)

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) TECNOLOGÍA DE COMPUTADORES Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) Agustín Álvarez Marquina Introducción (I) Causas del avance en el diseño de los sistemas digitales en los últimos

Más detalles

Lenguaje de descripción de Hardware VHSIC

Lenguaje de descripción de Hardware VHSIC Lenguaje de descripción de Hardware VHSIC VHDL Laboratorio de diseño digital Ingeniería Electrónica 4/17/2007 1 Contenido Estilos de diseño Lenguaje VHDL: Evolución y características Objetos VHDL Modelo

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas Carlos Andrés Luna Vázquez Lección 10 Problemas 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje

Más detalles

Informática Ingeniería en Electrónica y Automática Industrial

Informática Ingeniería en Electrónica y Automática Industrial Informática Ingeniería en Electrónica y Automática Industrial Sentencias de control en lenguaje Sentencias de control en lenguaje Introducción Sentencia if-else Sentencia switch Sentencia while Sentencia

Más detalles

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Antecedentes: Memorias como ROM etc. ANEXO: Formulario. Resumen de circuitos combinacionales y secuenciales TIP: Códigos para copiar rápido en Word,

Más detalles

Oracle Database 11g: Conceptos Fundamentales de SQL y PL/SQL Nuevo

Oracle Database 11g: Conceptos Fundamentales de SQL y PL/SQL Nuevo Oracle University Contacte con nosotros: 902 302 302 Oracle Database 11g: Conceptos Fundamentales de SQL y PL/SQL Nuevo Duración: 5 Días Lo que aprenderá En este curso, los estudiantes aprenderán los conceptos

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

Introducción al VHDL

Introducción al VHDL Introducción al VHDL Curso de Diseño de Circuitos y Sistemas Electrónicos - Grupo 43 Giancarlo Sportelli Biomedical Image Technologies - Departamento de Ingeniería Electrónica E.T.S.I. de Telecomunicación

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014 Solución al Trabajo Práctico - Junio de 2014 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es contabilizar el número de señales de entrada que tienen valor

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

Tutorial de ModelSim PE Student Edition

Tutorial de ModelSim PE Student Edition Tutorial de ModelSim PE Student Edition Instalación Ir a http://portal.model.com/modelsim/downloads/license_agreement_form_gen.asp Rellenar los datos y selecionar Request Download Seleccionar el enlace

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 1. Dado un decodificador de dos entradas (DEC 2:4), dar la descripción estructural, la descripción

Más detalles

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA NOMBRE DE LA ASIGNATURA: BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA FACULTAD DE CIENCIAS DE LA ELECTRÓNICA PROGRAMA DE ESTUDIOS DE LA MAESTRÍA EN INGENIERÍA ELECTRÓNICA CON OPCIÓN EN INSTRUMENTACIÓN DIGITAL

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Lenguaje descripción de hardware: VHDL

Lenguaje descripción de hardware: VHDL Lenguaje descripción de hardware: VHDL Generalidades A partir del desarrollo de circuitos Integrados Digitales programables con una gran cantidad de componentes lógicos y la necesidad de sistema digitales

Más detalles

VHDL Niveles de abstraccion de un modelo Caracteristicas de VHDL Entidad y Arquitectura Comportamiento y Estructura Conceptos Basicos del Lenguaje

VHDL Niveles de abstraccion de un modelo Caracteristicas de VHDL Entidad y Arquitectura Comportamiento y Estructura Conceptos Basicos del Lenguaje VHDL Niveles de abstraccion de un modelo Caracteristicas de VHDL Entidad y Arquitectura Comportamiento y Estructura Conceptos Basicos del Lenguaje Instrucciones Concurrentes y Secuenciales Manejo del tiempo

Más detalles

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5 Planificaciones 8641 - Sistemas Digitales Docente responsable: ALVAREZ NICOLAS 1 de 5 OBJETIVOS El objetivo de la materia es aprender a diseñar arquitecturas digitales utilizando como herramienta los lenguajes

Más detalles

Sesión 2: VHDL secuencial. S2 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 2: VHDL secuencial. S2 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 2: VHDL secuencial 1 Elementos léxicos de VHDL (1) Delimitadores : Simples: & ' ( ) *+, -. / : ; < = > Compuestos: => ** := /= >= Identificadores: identificador ::= letra { [ subrayado

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles