MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11

Tamaño: px
Comenzar la demostración a partir de la página:

Download "MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11"

Transcripción

1 MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11 Objetivo1: conocer cómo funciona la tarjeta Objetivo2: Comprender como se construyen sistemas digitales con el KIT basys2 y software xilinx Objetivo3: activar el reloj INTRODUCCION. Cómo funciona la tarjeta basys2 Es una tarjeta FPGA que permite diseñar miles de compuertas, solo programando hardware mediante VHDL.

2 Que tiene?

3

4 Cada elemento, ya sea switchs, leds y push botom(o pulsadores), se les asigna un pin para que se enlace. La asignación de pines de acuerdo al elemento, se observa a continuación.

5 Experimento 1. Al final se espera: Que el alumno aprenda a utilizar el software y hardware para un ejemplo sencillo. Objetivo: Programar una compuerta AND, las dos entradas estarán asignadas a dos push botom (para el push botom 0 le corresponde el pin G12, y el push botom 1 le corresponde el pin C11), ambos utilizaremos y la salida la conectaremos a un led, se escoge el LD0 con pin M5. Tenemos que tener instalado Xilinx, y Diligent Adept.

6 (Todos los programas Xilinx ise 8.2i, después submenú: Project navigator) Debe aparecer así, sino en menú File selecciona Close Projet 1) Crear un nuevo proyecto 2) Le llamamos ejemplo 1, y next. 3) Configurar la tarjeta basys2, de esta manera. Next.

7 4) Seleccionamos NEW SOURCE 5) Seleccionamos de la izquierda la opción VHDL Module y nombramos el archivo: ejemplo1, luego NEXT.

8 6) Como es una AND la que vamos a realizar, las entradas le llamamos A y B y la salida C 7) Estos valores se insertan a continuación, también se debe seleccionar si es entrada (in) o salida (out), después NEXT

9 8) Después finish, next, next, finish. Y te queda esta pantalla. 9) De esta pantalla seleccionamos la pestaña: ejemplo1.vhd 10) Aparece el código a continuación y comentarios con líneas de color verde.

10 11) Entonces solo trabajaremos en esta parte del código. Quitemos los comentarios que están de color verde. (los comentarios se crean con dos guiones). Debe quedar así.

11 12) En entity se declaran los puertos de entrada y salida, esta entidad se le pone un nombre aquí se le llama: ejemplo1. 13) En Architecture se coloca el comportamiento de nuestro circuito, dadas las entradas y salidas de la entidad. El comportamiento de nuestro proyecto es una and. Asi es que se coloca entre begin y End, lo siguiente: C<=Aand B; Para asignar se utiliza <= and es una palabra reservada Al final de cada comando se escribe punto y coma. observemoslo : 14) Chequemos sintaxis, dentro de sources (izquierda en medio), debe estar seleccionado synthesize, y en process(abajo izquierda) dentro del menú synthesize esta check syntax doble click.

12 Guardamos Y tenemos en el recuadrado de abajo que salen varios avisos que se está compilando, y si no está equivocado saldrá exitosamente successfully

13 Si estuviese equivocado checa con cuidado el código, letras mayúsculas deben ir en mayúscula, punto y coma al final. Y vuelve a checar la sintaxis. Ya sabiendo que está bien escrito el programa procedemos con asignar pines; en process se escoge menú, user constraint. Adentro tenemos assign Package Pins y aparece caja de dialogo donde se escribirán los valores de los pines. (Una caja de dialogo intermedia para crear UCF, se debe decir yes )

14 Los nombres de los pines los dijimos al inicio que se sacaron de la tarjeta o de la tabla de asignación de pines dada. Habíamos quedado entrada A el pin C11 en la entrada B el pin g1 y en el led C de pin m5. Ahora se guarda y cierra, estamos otra vez en la ventana anterior y ahora lo que se hace es darle DOBLE click a tres cosas 1) sinthesize 2) Implement Design y 3) generate programming File, en los iconos de En la tercera opción te muestra esto, solo ciérralo.

15 Finalmente, si todo salió bien tendremos la pantalla siguiente. Sino checa los numero de pines si coinciden a lo pedido. Si todo sale bien, ya se genero un archivo.bit dentro del folder donde se ubica este programa que hicimos. Ahora vamos a descargarlo a la tarjeta basys2: Requerimos el software de Adept de Diligent ya instalado, requerimos abrirlo.(todos los programas, Diligent, Adept,se llama Adept ). Si no está conectada la tarjeta ni prendida, te saldrá así:

16 Tenemos dos opciones, para ambas, conectar la tarjeta al USB y además el power (switch de encendido que esta a la izquierda) de la tarjeta basys2, lo pones en ON. Opción 1 escoges connect: la opción de basys2 Opcion2 Cierra la aplicación, y se vuelve a abrir ya con todo conectado y prendido. (Si estuviese conectado pero apagado solo se debe inicializar la cadena, dándole click al botón: Initialize Chain )

17 Después buscamos en el browser nuestro archivo BIT

18 Se escoge ejemplo1.bit Se acepta esto cada vez que salga (dos veces)

19 Y ahora solo programar y probar la tarjeta. Si se descargo bien a la tarjeta se mostrara lo siguiente. (Si fallo el programa, checa, esta prendida la tarjeta? Checa los pines si se grabaron adecuadamente.)

20 Ya se descargó a la tarjeta exitosamente. Solo resta probar en la tarjeta moviendo los dos switch dados y checar que con los dos switch en ON se prende el led específico únicamente. En xilinx se escoge la opción CERRAR PROYECTO: Close project.(no close solo). En el menú FILE. Siempre recuerda al terminar cerrar proyecto. En la tajeta Basys2, después de utilizarla solo apaga el switch de power. FIN practica 1 Ejercicio: realiza una OR con entrada en el swith5 y switch 6 y que se prenda el led1. Antes de empezar un proyecto nuevo checa que esté cerrado algún proyecto, en menú FILE y submenu: Close project

21 Practica 2. Conectando el reloj a un LED. En la basys2 se tiene un reloj interno de 50mhz, si lo conectamos directamente a un led, el parpadeo de prender y apagar que produce el reloj en un tiempo determinado será imperceptible para los ojos. De manera que debemos realizar un divisor de frecuencias, produciendo retardos de tiempo con un contador y al final conectarle el LED. PARTE 1: Primero se programara directamente y checaremos que el LED no se nota el parpadeo. Entramos a Xilinx, vhdl modulo, como entrada será clk y salida un LED. A la entrada solo se activa el reloj interno con el pin B8. A la salida para el LED escogeremos el LED7 con pin G1

22

23

24

25 YES

26 Resultado final: se prende solo el led 7 y se mantiene prendido todo el tiempo. AL final, por favor apara el switch de la tarjeta. Termina parte 1.

27 PARTE 2. Realizar el divisor de frecuencias. Como entrada se tiene el reloj interno con pin b8, llamado CLK Internamente tendremos un bloque donde ya se creó un retardo a propósito, al final de ese retardo se le conectara el led. A la salida del retardo se le conectara el LED7 con pin g1, Llamado LED. En la parte interna se producirá un retardo o delay, con un ciclo. La variable que estará variando será CLK, ya que a esta tenemos conectado el reloj interno. Si en el reloj se tiene una transición de cero a 1, entonces solo se verificara si ya se llego a un tiempo dado, si es así, entonces se realiza una cuenta, pero si no, se reinicializa el conteo; sumándole un uno a la variable: cuenta. Entonces después de lo que haya pasado, solo se verifica si cuenta es menor que la mitad del reloj (25 mil), si es así, prende Led, sino apaga Led.

28 Por otro lado se intenta con el código siguiente que se mantenga prendido a la mitad del tiempo y se apague la otra mitad. Checa de respuesta que al pasar el programa a la tarjeta, se prendera y apagara automaticamente el led designado.

29 F= hz si queremos a 10hz frec. Sa.l/frec. Ent. =2x10 e-8 es la cuenta

30

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V Practica 0. QUARTUS ll FCE 2015-02-05 Objetivo: El alumno conozca y utilice el software de ALTERA, quartus ll 1. Escribir un programa en vhdl, con ejemplo de compuerta NOT. 2. compilar y verificar sintaxis,

Más detalles

Pasos para conectar la CPLD al cable JTAG USB

Pasos para conectar la CPLD al cable JTAG USB Pasos para conectar la CPLD al cable JTAG USB 1) El pin VIO, pin 5V van conectados a 5V(No energice aun la CPLD) 2) En el Pin VIO se recomienda poner una resistencia en serie de 330ohms desde 5v al Pin

Más detalles

CREAR PROYECTO EN ISE v9.2 DE XILINX

CREAR PROYECTO EN ISE v9.2 DE XILINX EL ISE DE XILINX CREAR PROYECTO EN ISE v9.2 DE XILINX El programa ISE (Integrated Software Environment) de XILINX es una herramienta que mediante la utilización de lenguaje de programación como el VHDL

Más detalles

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE LABORATORIO1 INTRODUCCION AL USO DE LA HERRAMIENTA DE SINTESIS Y SIMULACION ISE_9.2 INTRODUCCION El curso de Diseño avanzado de Hardware, presenta al estudiante diferentes técnicas y herramientas que le

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I.

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I. UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERIA LAB DISEÑO DE SISTEMAS DIGITALES GRUPO: 5 PROFESORA: M.I. NORMA ELVA CHÁVEZ REPORTE DE LA PRÁCTICA DISEÑO Y CONSTRUCCIÓN DE UN CODIFICADOR

Más detalles

Ya debemos tener la licencia y saber donde está. Ahora le diremos al programa donde está.

Ya debemos tener la licencia y saber donde está. Ahora le diremos al programa donde está. Practica1 de VHDL con altera. FCHE 2010 Primero instala altera: Ya instalado falta avisar donde está la license.dat. Si no tiene licencia solo aparecen como se observa solo seis menús, deben aparecer once.

Más detalles

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Antecedentes: Memorias como ROM etc. ANEXO: Formulario. Resumen de circuitos combinacionales y secuenciales TIP: Códigos para copiar rápido en Word,

Más detalles

Dispositivos de Memoria

Dispositivos de Memoria Práctica No. 2 Dispositivos de Memoria Datos de la práctica Carrera INGENIERIA ELECTRONICA Semestre Grupo Tipo Practica Laboratorio Simulación Fecha Asignatura Unidad Temática No Alumnos por practica 2

Más detalles

Campo 2: Objetivos. Síntesis lógica y programación de la tarjeta de desarrollo DIGILENT SPARTAN 3E-STARTER, XC3S500E.

Campo 2: Objetivos. Síntesis lógica y programación de la tarjeta de desarrollo DIGILENT SPARTAN 3E-STARTER, XC3S500E. DISEÑO DE PROCESADORES DEDICADOS Campo 1: Datos Personales. Práctica 2 Síntesis Lógica Tarjeta Spartan 3E Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

Practica No. 1 Circuitos Secuenciales

Practica No. 1 Circuitos Secuenciales Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de dispositivos lógicos programables TerasIC proporcionada a los alumnos, el software de operación

Más detalles

V 1.0. Ing. Juan C. Guarnizo B.

V 1.0. Ing. Juan C. Guarnizo B. V 1.0 Ing. Juan C. Guarnizo B. INSTALACIÓN DEL MRT V1.2... 3 PROGRAMACIÓN BÁSICA... 11 Posibles errores en la programación... 16 2 INSTALACIÓN DEL MRT V1.2 En la carpeta Instaladores, ubique el icono MRTSetupV1.2.msi,

Más detalles

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica

Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Alumnos: Chávez Ordoñez César Alejandro Garduño Aguilar Natanael Jesua Profesora: M.I. Norma Elva Chávez

Más detalles

Laboratorio 5: Semáforo de dos Vías

Laboratorio 5: Semáforo de dos Vías Laboratorio 5: Semáforo de dos Vías Objetivo. Aplicar los conocimientos teóricos adquiridos en clases sobre máquinas de estados secuenciales mediante la implementación práctica de un semáforo de cuatro

Más detalles

El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos.

El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos. Universidad de Antioquia Facultad de Ingeniería Departamento de Ingeniería Electrónica Laboratorio de Circuitos Digitales I Práctica 0: Manejo del software de laboratorio El objetivo de la práctica es

Más detalles

Lab 2: Sumador/Restador en System Generator

Lab 2: Sumador/Restador en System Generator Lab 2: Sumador/Restador en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

SOFTWARE LOG-IG TERMO TAG

SOFTWARE LOG-IG TERMO TAG SOFTWARE LOG-IG TERMO TAG INSTALACIÓN DEL SOFTWARE EN WINDOWS: El CD adjuntado con los equipos posee dos archivos denominados : Usb2Serial_install RFTag ATENCIÓN: NO CONECTAR EL CABLE HASTA NO TERMINAR

Más detalles

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales : Diseño de un Divisor de Frecuencia Alumno: Jesús Alfredo Hernández Alarcón Profesor: M.I. Norma Elva Chávez

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso. DISEÑO DE PROCESADORES DEDICADOS Práctica 1 ISE para el Diseño con FPGAs Captura y Simulación Dr. Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Campo 1:

Más detalles

Modulo de desarrollo. Spartan 3 Starter Kit

Modulo de desarrollo. Spartan 3 Starter Kit Modulo de desarrollo Spartan 3 Starter Kit Universidad Simón Bolívar - 1 - EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Elementos constituyentes del modulo SPARTAN 3 Starter Kit

Más detalles

Brillante Iluminación S.A. de C.V. NOMBRE: MEMORIA SUNLITE CÓDIGO: LMEMO01 FAMILIA: ACCESORIOS MANUAL DE INSTALACIÓN - MEMORIA SUNLITE

Brillante Iluminación S.A. de C.V. NOMBRE: MEMORIA SUNLITE CÓDIGO: LMEMO01 FAMILIA: ACCESORIOS MANUAL DE INSTALACIÓN - MEMORIA SUNLITE NOMBRE: MEMORIA SUNLITE CÓDIGO: LMEMO01 FAMILIA: ACCESORIOS MANUAL DE INSTALACIÓN - MEMORIA SUNLITE Acerca del producto La Memoria SUNLITE DMX, sirve para manejar todas aquellas luminarias que cuente con

Más detalles

1. Creando componentes 2. conectando con tarjeta externa. 3. Decodificador de BCD a 7 segmentos

1. Creando componentes 2. conectando con tarjeta externa. 3. Decodificador de BCD a 7 segmentos Practica 2. FCHE12022015 1. Creando componentes 2. conectando con tarjeta externa. 3. Decodificador de BCD a 7 segmentos Ejemplo 1. Crear o abrir un proyecto de una compuerta (AND, OR o XOR), Ya debe compilar

Más detalles

Laboratorio 4. Objetivos

Laboratorio 4. Objetivos DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 4 Objetivos Interpretación de información especificada en hojas de datos o especificaciones de diseño Utilización de

Más detalles

Instalación de Eclipse y C++ en Windows

Instalación de Eclipse y C++ en Windows Instalación de Eclipse y C++ en Windows Para programar en C++ en un computador con sistema operativo Windows se necesita instalar: Un compilador de C++, como MinGW Un entorno de desarrollo, como Eclipse

Más detalles

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de los dispositivos lógicos programables que se dispone en el laboratorio,

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S Lab 6 Cuádruple registro de 16 bits y 3 puertos E/S Objetivo: Codificar en VHDL y verificar el funcionamiento de un registro de cuatro palabras y de las operaciones de lectura y escritura sobre el mismo.

Más detalles

Guía de instalación Modo Servidor + Estación de trabajo

Guía de instalación Modo Servidor + Estación de trabajo Guía de instalación Modo Servidor + Estación de trabajo En la siguiente ventana de selección de componentes, oprimir la flecha hacia abajo, seleccionar la opción Servidor + Estación de trabajo y respetar

Más detalles

Practica 9 Estilos de codificar a estados FCE

Practica 9 Estilos de codificar a estados FCE Practica 9 Estilos de codificar a estados FCE2015-04-07 Parte 1. Diagramas de estado con VHDL Parte2. Cartas ASM con VHDL Introducción En el diseño de sistemas digitales, una parte importante es poder

Más detalles

MANUAL DE INSTALACIÓN

MANUAL DE INSTALACIÓN MANUAL DE INSTALACIÓN Nombre: Memoria Sunlite Codigo(s): LMEMO1 Familia: Equipo Auxiliar y control. Dispositivos Necesarios para la Instalación - Memoria Sunlite (LMEMO1). - Disco de Instalación (incluido).

Más detalles

CONEXIÓN DEL HARDWARE AL COMPUTADOR PERSONAL

CONEXIÓN DEL HARDWARE AL COMPUTADOR PERSONAL CONEXIÓN DEL HARDWARE AL COMPUTADOR PERSONAL PRESENTACION Los programadores y autómatas que se conectan al software Mgdplus, lo hacen a través de una conexión de puerto serial. Cuando el computador no

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 2 Síntesis Lógica Tarjeta Spartan II. Campo 1: Datos Personales.

DISEÑO DE PROCESADORES DEDICADOS. Práctica 2 Síntesis Lógica Tarjeta Spartan II. Campo 1: Datos Personales. DISEÑO DE PROCESADORES DEDICADOS Campo 1: Datos Personales. Práctica 2 Síntesis Lógica Tarjeta Spartan II M. en C. Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo

Más detalles

MANUAL DE INSTALACIÓN - LED PRO QUAD COLOR I

MANUAL DE INSTALACIÓN - LED PRO QUAD COLOR I NOMBRE: LED PRO QUAD COLOR 1 CÓDIGO: LVL2710FCII FAMILIA: REFLECTORES MANUAL DE INSTALACIÓN - LED PRO QUAD COLOR I Acerca del producto Reflector con 300W de potencia, para exterior, con 27 LED s de 10W,

Más detalles

Netbook en el Aula Trabajo con e-learning

Netbook en el Aula Trabajo con e-learning E-learning class Podemos generar una red de trabajo. Programa de alumno: Viene instalado en todas las netbooks. Aparece en la parte superior la barra de herramientas. El alumno coloca la flecha del mouse

Más detalles

Como asignar una función

Como asignar una función La interfaz para asignar Funciones es común a todos los sistemas. Los pasos a seguir y las pantallas son estándares de desarrollo. Recuerde que un usuario solo lo puede crear una función si posee permisología

Más detalles

Desarrollo y Construcción de Prototipos Electrónicos

Desarrollo y Construcción de Prototipos Electrónicos Desarrollo y Construcción de Prototipos Electrónicos U.D. 1.1.- Diseño electrónico Proyecto (.OPJ) Estructura de un proyecto Librerías guarda punteros a un fichero de diseño Diseño (.DSN) Ficheros VHDL

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

Dispositivos y Sistemas Programables Avanzados

Dispositivos y Sistemas Programables Avanzados Dispositivos y Sistemas Programables Avanzados Autores: Antonio Calomardre Jordi Zaragoza Índice: Práctica 1: Barra de Leds... 3 1. Introducción...4 2. Descripción del diseño...4 3. Procedimiento a Seguir...5

Más detalles

1. Guía de instalación kit ACA.

1. Guía de instalación kit ACA. 1. Guía de instalación kit ACA. 1.1. Instalación Mac. En todo momento de la instalación han de tener el lector conectado al ordenador y la tarjeta introducida. 1.1.1. Instalación Firefox Debemos descargar

Más detalles

SERVICIOS, SISTEMAS Y PROTECCION Manual del software Time & Attendance

SERVICIOS, SISTEMAS Y PROTECCION Manual del software Time & Attendance Manual del software Time & Attendance -Conectarse a un equipo 1.- De click en el botón "Device (Dispositivos)" y le aparecerá una pantalla en la cual deberá modificar la información de acuerdo a los datos

Más detalles

Conexión segura al Laboratorio

Conexión segura al Laboratorio Conexión segura al Laboratorio Objetivo: El alumno aprenderá a conectarse vía secure shell al servidor del Laboratorio utilizando las herramientas proporcionadas en la página del mismo. SSH (Secure SHell)

Más detalles

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 Objetivo: Diseño e implementación de un cronómetro digital usando la herramienta de diseño Xilinx Foundation. El diseño se realizará con captura de

Más detalles

Practica No. 1 Circuitos Secuenciales. 1.- Siga los pasos siguientes para configurar un contador de 4 bits usando el ambiente de desarrollo Quartus.

Practica No. 1 Circuitos Secuenciales. 1.- Siga los pasos siguientes para configurar un contador de 4 bits usando el ambiente de desarrollo Quartus. Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de los dispositivos lógicos programables que se dispone en el laboratorio, tarjeta TerAsic, el software

Más detalles

Capítulo 3 Macros Introducción

Capítulo 3 Macros Introducción Capítulo 3 Macros Introducción Objetivo. Aprender cómo crear una macro de forma manual, es decir escribiendo el código a mano. Ejercicio paso a paso. Empezaremos por crear una macro para poner a cero el

Más detalles

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase. DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 3 Objetivo Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas

Más detalles

CIRCUITOS COMBINACIONALES CON isplever

CIRCUITOS COMBINACIONALES CON isplever CIRCUITOS COMBINACIONALES CON isplever En el siguiente tutorial se describe el procedimiento para crear un diseño digital usando esquemático con el software isplever. Se explicará como implementar la ecuación

Más detalles

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos diagramas, códigos y simulaciones según el caso. DISEÑO DE PROCESADORES DEDICADOS Práctica 1 ISE para el Diseño con FPGAs Captura y Simulación Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Campo 1: Datos

Más detalles

GUÍA DE AYUDA No DUAL)"

GUÍA DE AYUDA No DUAL) Administrador para Windows GUÍA DE AYUDA No. 396-1 PROCESO: INSTALACIÓN DEL SISTEMA ADMINISTRADOR MONO USUARIO (SENT DUAL)" PROCEDIMIENTO: Recomendaciones: No conecte su dispositivo hasta que se le indique

Más detalles

Guía Rápida de Instalación Internet Broadband Router (9010)

Guía Rápida de Instalación Internet Broadband Router (9010) Guía Rápida de Instalación Internet Broadband Router (9010) La presente guía tiene como objetivo, proporcionar de una manera sencilla, los pasos para configurar al 9010 en su funcionalidad básica (Conexión

Más detalles

Alejandro Carrillo Torres

Alejandro Carrillo Torres Alejandro Carrillo Torres ÍNDICE Instalación de Virtual box, Creación de una máquina virtual...página 3 Posibilidades de configuración hardware.página 11 Creación y gestión de Snapshots página 18 Tipos

Más detalles

MANUAL PARA PADRES - ALEXIA

MANUAL PARA PADRES - ALEXIA MANUAL PARA PADRES - ALEXIA CÓMO ENTRAR EN ALEXIA - Para entrar en Alexia debemos utilizar como navegador Mozilla Firefox. Es el navegador recomendado para trabajar con Alexia. Si no lo tenemos instalado

Más detalles

Guía de instalación para el sistema Administrador 2000 CS versión 5.0 Monousuario

Guía de instalación para el sistema Administrador 2000 CS versión 5.0 Monousuario Guía de instalación para el sistema Administrador 2000 CS versión 5.0 Monousuario Recomendaciones La instalación de este programa requiere de lo siguiente: - Importante!!! Verifique el correo que se le

Más detalles

Forecast Gestión de Comisiones

Forecast Gestión de Comisiones Forecast Gestión de Comisiones Índice 1. Registro de cambios...3 2. Introducción...4 3. Descripción de la funcionalidad...4 Creación de perfiles de comisión...4 Asociación de perfiles a usuarios...8 Asignación

Más detalles

Paso a paso. Instalación impresora y lectora de códigos de barras

Paso a paso. Instalación impresora y lectora de códigos de barras Paso a paso Instalación impresora y lectora de códigos de barras A continuación encontrará una guía paso a paso para la instalación y puesta en funcionamiento de la impresora (ver Imagen No. 2) y la lectora

Más detalles

Aprendemos a crear un blog

Aprendemos a crear un blog Aprendemos a crear un blog Un blog o bitácora es como un diario pero en un sitio web. En él una o varias personas escriben a menudo y lo que publican aparece ordenado en el tiempo. Siempre aparece primero

Más detalles

Manual Configuración CMOTech Módem USB 1x-EvDo CCU-550. Gerencia de Homologación n de Productos y Servicios

Manual Configuración CMOTech Módem USB 1x-EvDo CCU-550. Gerencia de Homologación n de Productos y Servicios Manual Configuración CMOTech Módem USB 1x-EvDo CCU-550 1 INDICE 1. Contenido del Paquete 2. Consideraciones para la Instalación 3. Requerimientos del Sistema 4. Información Técnica 5. Instalación del Software

Más detalles

Crear una macro automáticamente

Crear una macro automáticamente Cuando trabajamos con un libro personalizado, es decir, un libro en el que hemos definido una serie de características específicas como pueden ser el tipo de letra, el color de ciertas celdas, los formatos

Más detalles

Mouse inalámbrico para viaje con 5-Botones Manual del usuario

Mouse inalámbrico para viaje con 5-Botones Manual del usuario Características del Producto Mouse inalámbrico para viaje con 5-Botones Manual del usuario 1. Radio frecuencia de 27 MHz de transmisión inalámbrica. 2. Uso de 256 códigos de identificación para prevenir

Más detalles

ALU (Unidad Aritmética Lógica). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

ALU (Unidad Aritmética Lógica). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica Sistemas digitales II. Guía 3 1 ALU (Unidad Aritmética Lógica). Facultad: Ingeniería. Escuela: Electrónica. Asignatura: Sistemas Digitales II. Lugar de Ejecución: Microprocesadores (3.23). Objetivo General.

Más detalles

Tutorial 2: Pasar de diagrama ASM a un circuito

Tutorial 2: Pasar de diagrama ASM a un circuito Tutorial 2: Pasar de diagrama ASM a un circuito Introducción En este segundo tutorial veremos cómo transformar nuestros diagramas ASM en circuitos que puedan ser implementados utilizando la placa DEO.

Más detalles

2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory.

2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory. FACULTAD DE INGENIERÍA ELECTRÓNICA. GRUPO SEDA SEDA.ESCUELAING.EDU.CO PROFESOR: JAVIER SOTO PHD. TUTORIAL CREACIÓN, SIMULACIÓN E IMPLEMENTACIÓN DE UN PROYECTO (VHDL) EN VIVADO 2016.3 DESCRIPCIÓN Este tutorial

Más detalles

LED VISION TUTORIAL. (Guía básica para PC s y Pantallas ya

LED VISION TUTORIAL. (Guía básica para PC s y Pantallas ya LED VISION TUTORIAL (Guía básica para PC s y Pantallas ya programad@s) 1 Índice 1 Descargar el programa. Página 3 2 Instalar el programa. Página 3 3 Conectarse a la pantalla vía Wifi. Página 3 4 Leer configuración

Más detalles

PLC MINIATURA LEGANZA 88DDT8.

PLC MINIATURA LEGANZA 88DDT8. PLC MINIATURA LEGANZA 88DDT8. Descripción de las teclas Las 8 teclas localizadas en la parte frontal del LEGANZA, son utilizadas para CONFIGURAR, PROGRAMAR Y CONTROLAR la aplicación. Estas ejecutan las

Más detalles

PROGRAMA LESOFT 5.0. Descripción de las ventanas.

PROGRAMA LESOFT 5.0. Descripción de las ventanas. PROGRAMA LESOFT 5.0. Descripción de las ventanas. Ventana en la cual el usuario puede VER, INSERTAR y EDITAR, el programa. En donde puede indicar las propiedades de los contactos y bobinas, haciendo click

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Sumador completo de un bit - Versión VHDL Sintético

Sumador completo de un bit - Versión VHDL Sintético Sumador completo de un bit Versión VHDL Sintético Federico Paredes 1, Daniel Durán 1 1 Becarios de investigación Importante: Verifique la instalación del Webpack 7.1 y el Modelsim XE 7.1 SE.Ambos programas

Más detalles

Manual de configuración de wifilocal Windows XP Antena externa Ubiquiti Nanostation 2 WPA (PEAPv0/EAPMSCHAPv2)/ AES 9 de Septiembre de 2010

Manual de configuración de wifilocal Windows XP Antena externa Ubiquiti Nanostation 2 WPA (PEAPv0/EAPMSCHAPv2)/ AES 9 de Septiembre de 2010 Manual de configuración de wifilocal Windows XP Antena externa Ubiquiti Nanostation 2 WPA (PEAPv0/EAPMSCHAPv2)/ AES 9 de Septiembre de 2010 1. Configuración del adaptador de red para acceder a la configuración

Más detalles

Instalación y verificación de la Tarjeta Criptográfica

Instalación y verificación de la Tarjeta Criptográfica Instalación y verificación de la Tarjeta Criptográfica Instalación y verificación de la Tarjeta Criptográfica Bienvenido! El acceso al sistema de LexNET, requiere estar en posesión de un certificado de

Más detalles

C. E. I. P. LAS VIÑAS Bollullos del Cdo. (Huelva) Centro TIC. TEMA 13: Formato

C. E. I. P. LAS VIÑAS Bollullos del Cdo. (Huelva) Centro TIC. TEMA 13: Formato C. E. I. P. LAS VIÑAS Bollullos del Cdo. (Huelva) Centro TIC TEMA 13: Formato Formato Qué podemos hacer con un documento para mejorar su presentación?. A todas las variantes u opciones que podamos emplear

Más detalles

INSTALACIÓN Y VERIFICACIÓN DE LA TARJETA CRIPTOGRÁFICA

INSTALACIÓN Y VERIFICACIÓN DE LA TARJETA CRIPTOGRÁFICA INSTALACIÓN Y VERIFICACIÓN DE LA TARJETA CRIPTOGRÁFICA Bienvenido! El acceso al sistema de LexNET, requiere estar en posesión de un certificado de firma electrónica en tarjeta. Para trabajar con la tarjeta,

Más detalles

Arduino desde Physical Etoys

Arduino desde Physical Etoys Arduino desde Physical Etoys Debido a la popularidad y a las diversas características que tiene el Arduino, en este tutorial se verá cómo acceder a algunas de ellas desde Physical Etoys para hacer programitas

Más detalles

Programa la luz inteligente de tu bici

Programa la luz inteligente de tu bici Programa la luz inteligente de tu bici En este proyecto vas a descubrir cómo programar tu propia luz inteligente para ponerle tu bicicleta, con el fin de que ésta se encienda cuando detecte que es de noche.

Más detalles

Robots Infrarrojos en Physical Etoys

Robots Infrarrojos en Physical Etoys Robots Infrarrojos en Physical Etoys En este tutorial se mostrará cómo controlar robots vía infrarrojo desde Physical Etoys. Por ahora tenemos soporte para 3 robots: el RoboSapien V2, el Roboquad y el

Más detalles

UNIVERSIDAD NACIONAL DE COLOMBIA AUTOMATIZACIÓN DE PROCESOS DE MANUFACTURA

UNIVERSIDAD NACIONAL DE COLOMBIA AUTOMATIZACIÓN DE PROCESOS DE MANUFACTURA UNIVERSIDAD NACIONAL DE COLOMBIA AUTOMATIZACIÓN DE PROCESOS DE MANUFACTURA Catalina Raymond Guzmán 285557 Angie Alexandra Quijano S. 285556 Oscar Gabriel Navarrete G. 285552 Luis Felipe Arévalo C. 285368

Más detalles

... Presentaciones CAPÍTULO. Empezar con una presentación en blanco. Presentaciones con POWER POINT 2000

... Presentaciones CAPÍTULO. Empezar con una presentación en blanco. Presentaciones con POWER POINT 2000 4 CAPÍTULO. Presentaciones........ Empezar con una presentación en blanco Podemos crear presentaciones con el Asistente para autocontenido y con las Plantillas. Pero si no queremos solicitar la ayuda de

Más detalles

Introducción Arduino TPR 3º ESO

Introducción Arduino TPR 3º ESO Programar consiste en decirle a tu Arduino y a los actuadores que éste controla, desde sus puertos, lo que tiene que hacer. El programa instalado en nuestro PC tiene el icono siguiente: Al abrir el programa,

Más detalles

TUTORIAL PARA LA GENERACIÓN DEL ARCHIVO JED A PARTIR DE UN ARCHIVO EQN

TUTORIAL PARA LA GENERACIÓN DEL ARCHIVO JED A PARTIR DE UN ARCHIVO EQN TUTORIAL PARA LA GENERACIÓN DEL ARCHIVO JED A PARTIR DE UN ARCHIVO EQN Este tutorial te muestra el proceso para escribir las ecuaciones en un archivo eqn, y la generación del archivo jed que se necesita

Más detalles

Laboratorio 01: Generación del Hola Mundo Android

Laboratorio 01: Generación del Hola Mundo Android Laboratorio 01: Generación del Hola Mundo Android OBJETIVO: El objetivo del laboratorio es generar un programa Android y ejecutar el programa en el emulador. Paso 1: Figura 1. Pantalla de inicio de Android

Más detalles

INSTALACIÓN IMPRESORA WINDOWS XP

INSTALACIÓN IMPRESORA WINDOWS XP INSTALACIÓN IMPRESORA WINDOWS XP Para la instalar la impresora se debe ingresar por la barra de Inicio y seleccionar la opción Impresoras y Faxes: Para este caso la impresora a instalar será una Epson

Más detalles

Tutorial Software Dragon NaturallySpeaking Para Estudiantes en Situación de Discapacidad que requieran controlar el computador mediante la voz

Tutorial Software Dragon NaturallySpeaking Para Estudiantes en Situación de Discapacidad que requieran controlar el computador mediante la voz Tutorial Software Dragon NaturallySpeaking Para Estudiantes en Situación de Discapacidad que requieran controlar el computador mediante la voz Elaborado por Unidad de Apoyo a la Inclusión de Estudiantes

Más detalles

INSTRUCCIONES DE INSTALACIÓN Y DE CONFIGURACIÓN DE LA CONEXIÓN A INTERNET CON WINDOWS 2000 (Última modificación: noviembre 2004)

INSTRUCCIONES DE INSTALACIÓN Y DE CONFIGURACIÓN DE LA CONEXIÓN A INTERNET CON WINDOWS 2000 (Última modificación: noviembre 2004) INSTRUCCIONES DE INSTALACIÓN Y DE CONFIGURACIÓN DE LA CONEXIÓN A INTERNET CON WINDOWS 2000 (Última modificación: noviembre 2004) 1. Introducción El sistema operativo Windows 2000 ya lleva incorporados

Más detalles

UNIVERSIDAD DE ANTIOQUIA DEPARTAMENTO INGENIERÍA ELECTRÓNICA AUTOMATIZACIÓN CON PLC

UNIVERSIDAD DE ANTIOQUIA DEPARTAMENTO INGENIERÍA ELECTRÓNICA AUTOMATIZACIÓN CON PLC INTRODUCCIÓN AL ENTORNO DE PROGRAMACIÓN TIA PORTAL Y EL PLC S7-1200 Conexión del PLC El primer paso que debemos seguir a la hora de programar un PLC s7-1200 es conocer cuáles son los componentes mínimos

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

APRENDE ARDUINO FACILMENTE CON MIRPAS.COM.

APRENDE ARDUINO FACILMENTE CON MIRPAS.COM. APRENDE ARDUINO FACILMENTE CON MIRPAS.COM. Este curso está redactado por Pascual Gómez del Pino para Mirpas.com. El autor y la Web reclinan las responsabilidades civiles y penales que pudiesen derivar

Más detalles

3. En esta pantalla seleccionas Inter American University of Puerto Rico Guayama

3. En esta pantalla seleccionas Inter American University of Puerto Rico Guayama UNIVERSIDAD INTERAMERICANA DE PUERTO RICO Recinto de Guayama Educación a Distancia Pasos para la instalación de Remote Proctor Now 1. Debe dar un clic en >>>>> www.myrpinstall.com. 2. Le saldrá esta pantalla.

Más detalles

AR 1 T. Tutorial 1. Introducción a Arduino Controlando un LED.

AR 1 T. Tutorial 1. Introducción a Arduino Controlando un LED. 1 T Tutorial 1. Introducción a Arduino Controlando un LED. Objetivo general. Conocer los conceptos básicos de Arduino para aplicarlos, encender y apagar un LED como primera práctica. Qué es Arduino? Arduino

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación Tutorial de VHDL: Contadores y Simulación Importante: -Verifique la instalación del Webpack 6.0 y el Modelsim XE 6.0 SE. Ambos programas se pueden bajar desde www.xilinx.com. -Verifique también la licencia

Más detalles

INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica 1 INTRODUCCIÓN A SISTEMAS EMBEBIDOS, VHDL, ISE (XILINX). Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas Digitales Lugar de Ejecución: Fundamentos Generales.// Automatización. Objetivo General

Más detalles