Modulo de desarrollo. Spartan 3 Starter Kit

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Modulo de desarrollo. Spartan 3 Starter Kit"

Transcripción

1 Modulo de desarrollo Spartan 3 Starter Kit

2 Universidad Simón Bolívar EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Elementos constituyentes del modulo SPARTAN 3 Starter Kit 1. FPGA XC3S PROM XCF02S 2M 3. Jumpers Flash 4. SRAM 256x16 10ns SRAM 256x16 10ns 5. Puerto VGA 8 colores 6. Puerto Serial RS Driver Max Jumper 9. PS/2 Port displays 7 segmentos Switches deslizantes Leds pulsadores 14. Oscilador 50 Mhz 15. Socket oscilador adicional 16. Jumpers Modo Configuración 17. Pulsador PROGRAM 18. Led DONE 19. Expansión B1 20. Expansión A2 21. Expansión A1 22. Conector JTAG 23. Cable Paralelo JTAG 24. Conector JTAG Paralelo 25. Adaptador AC-DC 5vdc, 2ª 26. POWER ON Led reguladores 3.3v,2.5v,1.2v Página 1 of 9

3 Universidad Simón Bolívar EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Ubicación de los componentes Pulsador PROG Debe ser oprimido para que el programa pase de la memoria FLASH al FPGA Memoria FLASH xcf02s 2M SPARTAN 3 xc3s200 Puerto JTAG donde conecta el cable que va al puerto paralelo de la PC Cara Superior del Modulo Página 2 of 9

4 Universidad Simón Bolívar EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Ubicación de los componentes Cristal 50 Mhz Conectado al pin T9 del FPGA Cara inferior del modulo Página 3 of 9

5 Universidad Simón Bolívar EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit 4 Displays 7 segmentos Pines de los 7 segmentos son comunes Página 4 of 9

6 Universidad Simón Bolívar EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit SWITCHES, PULSADORES y LEDS Ubicación de los pines Página 5 of 9

7 Universidad Simón Bolívar EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Pines de los 4 displays 7 segmentos Página 6 of 9

8 Universidad Simón Bolívar EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Pines de los 8 LEDS cátodo común Página 7 of 9

9 Universidad Simón Bolívar EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Ubicación de los pines de los switches conexión de los switches al FPGA Página 8 of 9

10 Universidad Simón Bolívar EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Ubicación de los pines de los pulsadores Vcc BTN0 M13 BTN1 M14 BTN2 L13 BTN3 L14 gnd Página 9 of 9

11 FPGA XC3S200_ft256_ -4

12 Universidad Simón Bolívar EC1723 Circuitos Digitales Trimestre Sep._Dic.08 FPGA Spartan xc3s200 ft ESTRUCTURA DEL FPGA SPARTAN 3 xc3s200 Los FPGA SPARTAN 3 de XILINX tienen básicamente 5 tipos de componentes: 1. CLB CONFIGURABLE LOGIC BLOCKS. Los CLB están constituidos por 4 slices. El xc3s200 posee 480 CLB equivalentes a compuertas. Los CLB están dispuestos en una matriz de 24 filas por 22 columnas. 2. IOB I/O BLOCKS. Dispuestos en 5 bancos (BANKS). EL xc3s200 ft256 posee 256 pines de I/O de los cuales solo 173 están disponibles al usuario. 3. Bloques de memoria RAM. 4. Bloques multiplicadores. EL xc3s200 ft256 posee 12 multiplicadores de 18 bits, dispuestos en 2 columnas. 5. DCM (DIGITAL CLOCK MANAGER). EL xc3s200 ft256 posee 4 DCM ubicados en las 4 entradas permitidas de la señal de reloj. Página 1 of 4

13 Universidad Simón Bolívar EC1723 Circuitos Digitales Trimestre Sep._Dic.08 FPGA Spartan xc3s200 ft Especificaciones del FPGA SPARTAN 3 DCM permite duplicar la frecuencia del reloj Página 2 of 4

14 Universidad Simón Bolívar EC1723 Circuitos Digitales Trimestre Sep._Dic.08 FPGA Spartan xc3s200 ft PINOUT del xc3s200 ft256 Se muestran en color blanco los 173 pines disponibles al ususario Pin T9 Entrada del reloj en el SPARTAN 3 Starter Kit Página 3 of 4

15 Universidad Simón Bolívar EC1723 Circuitos Digitales Trimestre Sep._Dic.08 FPGA Spartan xc3s200 ft Rutas troncales del reloj en el interior del FPGA Se muestran las rutas troncales por las cuales debe viajar la señal del reloj El Spartan 3 xc3s200 posee 8 entradas de reloj y 4 bloques DCM. Página 4 of 4

16 ISE 10.1 Implementación Simulación

17 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Abrir el programa: START Xilinx ISE Design ISE Project Navigator Pagina 1 of 32

18 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Crear Nuevo Proyecto: File New Project New Project Pagina 2 of 32

19 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Asignar Nombre y Ubicación al proyecto proy1 Ubicación Esquemático Pagina 3 of 32

20 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Seleccionar FPGA XC3S200-FT256-4 Seleccionar Simulador ISE Simulator Seleccionar Lenguaje Preferido VHDL Pagina 4 of 32

21 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 No creamos nuevos archivos fuente en esta etapa Pagina 5 of 32

22 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 No copiamos archivos existentes al proyecto en esta etapa Pagina 6 of 32

23 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Verificamos las especificaciones introducidas Pagina 7 of 32

24 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Se creará un archivo esquemático Pagina 8 of 32

25 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 New Source Pagina 9 of 32

26 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Seleccionar Tipo de Archivo Fuente Asignar Nombre al Nuevo Archivo Nombre del esquemático Selecionar Schematic Pagina 10 of 32

27 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Crear circuito en el esquemático Seleccionar Simbols Pagina 11 of 32

28 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 CLICK and2 escribir and2 Arrastrar (DRAG) el simbolo con el MOUSE Pagina 12 of 32

29 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Crear circuito en el esquemático Add Wire Pagina 13 of 32

30 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Añadir terminales de Entrada y de Salida Add I/O Marker Pagina 14 of 32

31 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Asignar nombre a los terminales de Entrada y de Salida Doble CLICK en el terminal Pagina 15 of 32

32 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Escribir Nuevo Nombre Pagina 16 of 32

33 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Opcional: Puede cambiar el tamaño de las letras Selecionar Selecionar Tamaño I/O Markers Pagina 17 of 32

34 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Guardar el archivo creado Guardar Pagina 18 of 32

35 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Crear Símbolo esquemático del circuito Semisumador creado Create Schematic Symbol Pagina 19 of 32

36 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Crear un nuevo archivo esquemático con el nombre Sumador New Source Pagina 20 of 32

37 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Abrir el nuevo archivo esquemático Selecionar Pagina 21 of 32

38 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Seleccionar el símbolo del Semisumador y añadirlo dos veces Selecionar Selecionar Pagina 22 of 32

39 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Completar el circuito del Sumador Completar y Guardar Pagina 23 of 32

40 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Crear archivo de Estimulos o de Excitación para la SIMULACION Project New Source Test BenchWaveform Project New Source Asignar nombre al archivo de ESTIMULOS Selecionar tipo de archivo Test Bench Waveform Pagina 24 of 32

41 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Verificar que el archivo de ESTIMULOS esta asociado al circuito tope Asociado al circuito Sumador Pagina 25 of 32

42 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Finalizar la creación del archivo de ESTIMULOS (TEST BENCH WAVEFORM) Pagina 26 of 32

43 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Definir tiempo de simulación Seleccionar Circuito Combinatorio Tiempo de simulación 8000 ns Pagina 27 of 32

44 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Crear los niveles de las señales de excitación Click con el MOUSE para cambiar los niveles Guardar el archivo Pagina 28 of 32

45 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Cambiar al modo de SIMULACION (Behavioral Simulation) Selecionar Behavioral Simulation Pagina 29 of 32

46 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 INICIAR la Simulación Doble CLICK Simulate Behavioral Model Pagina 30 of 32

47 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Simular durante un tiempo especificado (Run for Specified Time) Selecionar Run For Specified Time Pagina 31 of 32

48 Universidad Simon Bolivar EC1723 Circuitos Digitales Trimestre Sept.-Dic Simular ISE 10.1 Hacer Zoom Out de forma de poder observar todo el rango de SIMULACION Hacer CLICK Zoom Out Pagina 32 of 32

49 ISE 10.1 Programación

50 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 1 de 34 Abrir el archivo tope del proyecto Página 1 of 34

51 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 2 de 34 Crear el archivo de restricciones CONSTRAINTS Project New Source New Source Crear el archivo donde se asignarán los pines de I/O del circuito Página 2 of 34

52 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 3 de 34 Asignar nombre al archivo de CONSTRAINS Pines Este archivo tiene extensión.ucf Página 3 of 34

53 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 4 de 34 Verificar las asignaciones hechas Pines.ucf asociado al archivo de mas alta jerarquía Página 4 of 34

54 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 5 de 34 ASIGNAR PINES Floorplan Area /IO/Logic Post-Synthesis Página 5 of 34

55 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 6 de 34 Automáticamente se abre el programa accesorio PACE En esta columna asignarán lo pines A F12 (sw0) B G12 (sw1) Cin H14 (sw2) Co P11(LD7) S P12 (LD6) Página 6 of 34

56 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 7 de 34 Pines y su ubicación Ubicacion Página 7 of 34

57 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 8 de 34 Pines y su ubicación Pines ubicados Después de asignados los pines debe guardar el archivo creado Y cerrar el programa accesorio PACE Página 8 of 34

58 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 9 de 34 Generación del archivo que se grabará en la memoria FLASH Generate Target PROM El archivo que se graba en la memoria FLASH xcfo2 tiene extensión.mcs Página 9 of 34

59 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 10 de 34 Página 10 of 34

60 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 11 de 34 EL archivo.mcs es creado por el programa ACCESORIO IMPACT OK Abrir el IMPACT Página 11 of 34

61 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 12 de 34 Crear el archivo.mcs Página 12 of 34

62 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 13 de 34 Asignar nombre al archivo.mcs de la memria FLASH Asignar nombre Página 13 of 34

63 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 14 de 34 Seleccionar tipo de memoria FLASH (serial fabricada por XILINX) Página 14 of 34

64 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 15 de 34 Seleccionar modelo de memoria FLASH (xcf02s de 2M) Página 15 of 34

65 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 16 de 34 Seguir Página 16 of 34

66 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 17 de 34 Verificar asignaciones hechas Página 17 of 34

67 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 18 de 34 Iniciar la conexión del modulo de desarrollo con la PC Página 18 of 34

68 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 19 de 34 Seleccionar el archivo con extensión.bit que se grabará en el FPGA Página 19 of 34

69 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 20 de 34 Indicar que no se añadirá ningun otro dispositivo Seguir Página 20 of 34

70 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 21 de 34 Confirmar que no hay mas dispositivos Seguir Página 21 of 34

71 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 22 de 34 Generar el archivo de la FLASH Generate File Página 22 of 34

72 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 23 de 34 Indicación de generación exitosa del archivo.mcs Página 23 of 34

73 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 24 de 34 Establecer conexión con el modulo de desarrollo Right click to Initialize JTAG chain Página 24 of 34

74 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 25 de 34 Se inicia la comunicación por el puerto JTAG que identificará los dispositivos programables en el modulo Initialize chain Página 25 of 34

75 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 26 de 34 Se hace BYPASS del FPGA porque el archivo quedara en la FLASH BYPASS del FPGA Página 26 of 34

76 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 27 de 34 Abrir el archivo que se grabara en la FLASH Seleccionar prom_1.mcs Página 27 of 34

77 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 28 de 34 Seguir Página 28 of 34

78 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 29 de 34 Seleccionar la memoria FLASH Doble click Memoria FLASH Página 29 of 34

79 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 30 de 34 Programar la memoria FLASH xcf02 con el archivo Prom_1.mcs Página 30 of 34

80 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 31 de 34 Seleccionar propiedades de programación de la memoria FLASH Página 31 of 34

81 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 32 de 34 Progreso de la programación de la FLASH Página 32 of 34

82 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 33 de 34 Indicación de programación exitosa de la FLASH Página 33 of 34

83 Universidad Simón Bolívar EC1723 Circuitos Digitales Página 34 de 34 Después de programada la FLASH no guarde el archivo de configuración. Para que el programa baje de la FLASH al FPGA debe oprimir el pulsador PROG presente en el modulo Página 34 of 34

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA.

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. Características Técnicas: FPGA de Xilinx XC3S400 ó XC3S1000 ISP PROM XCF02S ó XCF04S Memoria RAM estática: 2Mbytes Memoria FLASH: 4 Mbytes Temperatura

Más detalles

Tutorial de Xilinx ISE

Tutorial de Xilinx ISE Tutorial de Xilinx ISE Eduardo Magdaleno Castelló Manuel Rodríguez Valido Universidad de La Laguna Introducción al Diseño Lógico Digital Tabla de Contenidos ISE Quick Start Tutorial Objetivos de este Tutorial...

Más detalles

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna 1 Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna Adaptaciones por Jhon Esteban Valencia y Mónica Vallejo para el curso de Electrónica

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el Diseño y Simulación de un circuito digital con VHDL, Síntesis e Implementación en un FPGA Profesor: M. C. Felipe Santiago Espinosa

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 Objetivo: Diseño e implementación de un cronómetro digital usando la herramienta de diseño Xilinx Foundation. El diseño se realizará con captura de

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

Xilinx-ISE. Susana Holgado Escuela Politécnica Superior UAM

Xilinx-ISE. Susana Holgado Escuela Politécnica Superior UAM Manejo básicob Susana Holgado Escuela Politécnica Superior UAM Modificaciones: Ángel de Castro (2006) Francisco Javier Gómez Arribas (2008) Víctor Apéstegui Palacio (2009) 1 Xilinx-ISE La herramienta Xilinx-ISE

Más detalles

Programa ALTERA destinado a Universidades

Programa ALTERA destinado a Universidades Programa ALTERA destinado a Universidades Software MAX+PLUS II- Quartus versión estudiantil UP 2 Education Board Dispositivo EPM7128S de 84 pines y encapsulado del tipo plastic J-lead chip carrier (PLCC)

Más detalles

XILINX XC2S50. Joaquín Olivares

XILINX XC2S50. Joaquín Olivares XILINX XC2S50 1. Características 2. Instalación 3. Comprobación 4. Programación del Reloj 5. Programación de la FPGA 6. Memoria SRAM y FLASH 7. Esquemas y Conexionados Joaquín Olivares - 2003 1 Características

Más detalles

Guía de uso Tarjeta Nexys 2 FPGA Spartan-3E

Guía de uso Tarjeta Nexys 2 FPGA Spartan-3E Tarjeta Nexys 2 FPGA Spartan-3E Ingeniería Eléctrica y Electrónica DIEE Sede Bogotá Facultad de Ingeniería del Departamento Ingeniería Eléctrica y Electrónica. Tarjeta Nexys 2 FPGA Spartan 3-E. Versión

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el diseño y simulación de un circuito digital con VHDL, síntesis e implementación en un FPGA Alumno : Grupo : Prof. M. C. Felipe

Más detalles

BOARD XSA50. Estructura y funcionamiento de la placa XSA50 FCEIA LDD

BOARD XSA50. Estructura y funcionamiento de la placa XSA50 FCEIA LDD BOARD XSA50 Estructura y funcionamiento de la placa XSA50 FCEIA LDD Programación de la FPGA La implementación de un diseño lógico sobre una FPGA, en general, pasa por las siguientes etapas:! Se describe

Más detalles

Pasos para conectar la CPLD al cable JTAG USB

Pasos para conectar la CPLD al cable JTAG USB Pasos para conectar la CPLD al cable JTAG USB 1) El pin VIO, pin 5V van conectados a 5V(No energice aun la CPLD) 2) En el Pin VIO se recomienda poner una resistencia en serie de 330ohms desde 5v al Pin

Más detalles

GUÍA RÁPIDA DE CONEXIÓN DEL F9.

GUÍA RÁPIDA DE CONEXIÓN DEL F9. GUÍA RÁPIDA DE CONEXIÓN DEL F9. El presente documento le ayudará a realizar las conexiones necesarias para el correcto funcionamiento del dispositivo F9. IDENTIFICACIÓN DEL EQUIPO. 1.- En su parte frontal

Más detalles

Guía para realizar el primer diseño con el Max+Plus II

Guía para realizar el primer diseño con el Max+Plus II Guía para realizar el primer diseño con el Max+Plus II Introducción A través de esta guía aprenderás como hacer un diseño utilizando el programa Max+plus II y la placa DL-LAB del curso de Diseño Lógico

Más detalles

CIRCUITOS COMBINACIONALES CON isplever

CIRCUITOS COMBINACIONALES CON isplever CIRCUITOS COMBINACIONALES CON isplever En el siguiente tutorial se describe el procedimiento para crear un diseño digital usando esquemático con el software isplever. Se explicará como implementar la ecuación

Más detalles

GUÍA RÁPIDA DE CONEXIÓN DEL F9.

GUÍA RÁPIDA DE CONEXIÓN DEL F9. GUÍA RÁPIDA DE CONEXIÓN DEL F9. El presente documento le ayudará a realizar las conexiones necesarias para el correcto funcionamiento del dispositivo F9. IDENTIFICACIÓN DEL EQUIPO. 1.- En su parte frontal

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Programa ALTERA destinado a Universidades

Programa ALTERA destinado a Universidades Programa ALTERA destinado a Universidades Software MAX+PLUS II versión estudiantil 9.23. UP 1 Education Board Dispositivo EPM7128S de 84 pines y encapsulado del tipo plastic J-lead chip carrier (PLCC)

Más detalles

Prácticas de Máquina Sencilla con FPGA

Prácticas de Máquina Sencilla con FPGA TITULACIÓN: Enginyeria Tècnica Industrial en Electrònica Industrial AUTOR: Alan Morato Gomis DIRECTOR: Enrique F. Cantó Navarro FECHA: junio del 2011. Índice General 1 Índice General 2 Introducción Pág.

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Implementación en FPGA de Máquinas de Estados con VHDL

Implementación en FPGA de Máquinas de Estados con VHDL 1 Encuentro de Investigación en Ingeniería Eléctrica Zacatecas, Zac, Abril 5 7, 2006 Implementación en FPGA de Máquinas de Estados con VHDL Miguel Ángel Porta García, Marlen Meza, Perla Saldívar, Oscar

Más detalles

Práctica 4. Sistemas Digitales. Objetivos particulares

Práctica 4. Sistemas Digitales. Objetivos particulares Práctica 4 Sistemas Digitales Objetivos particulares Durante el desarrollo de esta práctica, el estudiante aplicará un método para obtener las diferentes representaciones de los sistemas digitales binarios,

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

PRÁCTICA DE SÍNTESIS

PRÁCTICA DE SÍNTESIS PRÁCTICA DE SÍNTESIS El sumador restador en complemento a dos 1.- Introducción Mediante el complemento a dos se simplifica la circuitería necesaria para realiar las operaciones suma y resta de números

Más detalles

PIC MICRO ESTUDIO Timer ajustable hasta 99H 59M 59S Timer S232 Es Clave:

PIC MICRO ESTUDIO Timer ajustable hasta 99H 59M 59S Timer S232 Es Clave: PIC MICRO ESTUDIO Timer ajustable hasta 99H 59M 59S Timer S232 Es Clave: 722-1 www.electronicaestudio.com Guía de Operación Timer ajustable hasta 99H 59M 59s Modulo: Timer S232 ES Clave: 722-1 TIMER PROGRAMABLE

Más detalles

PRÁCTICA 1. Introducción al Software Xilinx ISE versión 6.

PRÁCTICA 1. Introducción al Software Xilinx ISE versión 6. PRÁCTICA 1. Introducción al Software Xilinx ISE versión 6. 1. Introducción. Debido a los requerimientos de funcionamiento, la complejidad que están alcanzando los diseños digitales aumenta día a día. Estos

Más detalles

Guía para realizar el primer diseño con QUARTUS II

Guía para realizar el primer diseño con QUARTUS II Guía para realizar el primer diseño con QUARTUS II Introducción A través de esta guía aprenderás cómo hacer un diseño utlizando el programa QUARTUS II y la placa DE0 del curso de Diseño Lógico de la Facultad

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

LABORATORIO DE DISEÑO DIGITAL

LABORATORIO DE DISEÑO DIGITAL euskal herriko unibertsitatea-universidad del país vasco Dpto. de Arquitectura y Tecnología de Computadores eman ta zabal zazu Universidad del País Vasco Euskal Herriko Unibertsitatea Konputagailuen Arkitektura

Más detalles

TUTORIAL INTRODUCTORIO ISE, ISim e IMPACT

TUTORIAL INTRODUCTORIO ISE, ISim e IMPACT TUTORIAL INTRODUCTORIO ISE, ISim e IMPACT Cristian Sisterna Sección 1 Introducción al ISE Introducción En este tutorial se presenta la herramienta Integrated Software Environment (ISE) de la empresa Xilinx.

Más detalles

PIC MICRO ESTUDIO Programador en tiempo real TREALS2

PIC MICRO ESTUDIO Programador en tiempo real TREALS2 PIC MICRO ESTUDIO Programador en tiempo real TREALS2 www.electronicaestudio.com Guía de Operación Programador en tiempo real Modulo: TREALS2 Con esta tarjeta se pueden programar hasta 16 salidas sin conocer

Más detalles

PARTE I: Diseño lógico usando Xilinx ISE

PARTE I: Diseño lógico usando Xilinx ISE Cuaderno de prácticas Laboratorio de Fundamentos de Computadores PARTE I: Diseño lógico usando Xilinx ISE AUTORES: David Atienza Alonso, Hortensia Mecha López, Inmaculada Pardines Lence, Silvia Del Pino

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

TARJETA DE INTERFAZ PCI DE PUERTO PARALELO

TARJETA DE INTERFAZ PCI DE PUERTO PARALELO Introducción TARJETA DE INTERFAZ PCI DE PUERTO PARALELO Guía de instalación rápida Gracias por comprar la tarjeta de interfaz PCI IEEE1284. Esta tarjeta permite a los usuarios añadir uno o dos puertos

Más detalles

Laboratorio virtual para la programación de FPGAs

Laboratorio virtual para la programación de FPGAs Laboratorio virtual para la programación de FPGAs Joaquín Olivares, Alfonso Merino, José M. Palomares y Miguel A. Montijano Escuela Politécnica Superior, Universidad de Córdoba, España olivares@uco.es

Más detalles

Laboratorio 8. Orcad. Actividades. Semana 1

Laboratorio 8. Orcad. Actividades. Semana 1 Laboratorio 8 Orcad Actividades OrCAD PSpice es un programa de simulación que modela el comportamiento de un circuito que puede contener una mezcla de dispositivos digitales y analógicos. Este programa

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Aprender el uso de dispositivos controlados por una FPGA en una tarjeta de desarrollo. Conocer la interfaz PS/2, el protocolo de comunicación y

Más detalles

PROGRAMA DE LA ASIGNATURA: SISTEMAS ELECTRÓNICOS DIGITALES

PROGRAMA DE LA ASIGNATURA: SISTEMAS ELECTRÓNICOS DIGITALES DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA HOJA 1 DE 8 PROGRAMA DE LA ASIGNATURA: SISTEMAS ELECTRÓNICOS DIGITALES CENTRO: TITULACIÓN: E.T.S. DE INGENIEROS DE TELECOMUNICACIÓN INGENIERO TÉCNICO DE TELECOMUNICACIÓN

Más detalles

Registros SFR vistos hasta ahora: Microcontroladores PIC

Registros SFR vistos hasta ahora: Microcontroladores PIC Registros SFR vistos hasta ahora: Microcontroladores PIC Microcontroladores PIC: Timer Características del Timer TMR0: Cumple básicamente la función de contador de eventos (o divisor de frecuencia). El

Más detalles

MAYA 50. Es una tarjeta electrónica diseñada para controlar y realizar prácticas de microcontroladores basada en el MCU PIC18F4550.

MAYA 50. Es una tarjeta electrónica diseñada para controlar y realizar prácticas de microcontroladores basada en el MCU PIC18F4550. MAYA 50 Es una tarjeta electrónica diseñada para controlar y realizar prácticas de microcontroladores basada en el MCU PIC18F4550. 1. Características Maya 50, está basada en el microcontrolador de microchip

Más detalles

Módulo Bluetooth HC-06 con puerto serial. Guía fácil

Módulo Bluetooth HC-06 con puerto serial. Guía fácil 1 Módulo Bluetooth HC-06 con puerto serial. Guía fácil Este manual consta de 3 partes: PARTE 1. Resumen del módulo Bluetooth HC-06 con puerto serial. PARTE 2. Instalación de módulo Bluetooth HC-06 al sistema

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

V 1.0. Ing. Juan C. Guarnizo B.

V 1.0. Ing. Juan C. Guarnizo B. V 1.0 Ing. Juan C. Guarnizo B. INTRODUCCIÓN... 3 Microcontroladores soportados... 3 DESCRIPCIÓN... 4 1. Entrada de voltaje USB... 4 2. Regulador a 3.3V... 5 3. Pines de control... 5 4. Pines de salida...

Más detalles

COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02)

COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02) COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02) Hardware necesario: PLC Siemens S7-300 (cualquier CPU con puerto Profibus DP) PLC DVP (SA,SX,SE,SV) Módulo Esclavo Profibus para PLC DVP(DVPPF02)

Más detalles

3. Selección del paquete termodinámico de fluidos: Para las propiedades de los componentes se usará el paquete NRTL. Dar clic en Fluid Packages (figur

3. Selección del paquete termodinámico de fluidos: Para las propiedades de los componentes se usará el paquete NRTL. Dar clic en Fluid Packages (figur SIMULACION DE UNA EXTRACCION LIQUIDA Se realizará la extracción de una corriente de 1 kgmol/s de composición 60 % molar en agua y 40 % molar en acetona, usando 1 kgmol/s de metil isobutil cetona (pura)

Más detalles

Manipulación de un Brazo Robótico por medio de una Tarjeta FPGA

Manipulación de un Brazo Robótico por medio de una Tarjeta FPGA Manipulación de un Brazo Robótico por medio de una Tarjeta FPGA Felipe Santiago Espinosa, Yukio Rosales Luengas, Alejandro Rivera García, Adrián Humberto Martínez Vásquez Instituto de Electrónica y Mecatrónica,

Más detalles

Guía de uso del programa AVR-Studio

Guía de uso del programa AVR-Studio Guía de uso del programa AVR-Studio El entorno de desarrollo AVR-STUDIO nos permite cargar, ensamblar y depurar los programas que escribimos en lenguaje ensamblador. Los pasos para crear un proyecto nuevo

Más detalles

Tema: USO DE MEMORIAS RAM Y ROM

Tema: USO DE MEMORIAS RAM Y ROM Tema: USO DE MEMORIAS RAM Y ROM Facultad: Ingeniería Escuela: Electrónica Asignatura: Interfaces y Perifericos Lugar de Ejecución: Fundamentos Generales. Edificio 3 Objetivo general genespecífico Usar

Más detalles

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales : Diseño de un Divisor de Frecuencia Alumno: Jesús Alfredo Hernández Alarcón Profesor: M.I. Norma Elva Chávez

Más detalles

Luxómetro Registrador HD450 PRESENTACIÓN DEL SOFTWARE

Luxómetro Registrador HD450 PRESENTACIÓN DEL SOFTWARE Luxómetro Registrador HD450 PRESENTACIÓN DEL SOFTWARE Con este programa usted puede recolectar datos del medidor HD450 cuando el medidor está conectado a una PC y descargar los datos guardaos en la memoria

Más detalles

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE 1 SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE tiempo de acceso RAM, algunas veces se usa cerrojo en el Juan Manuel Narváez Sánchez, Carlos Andrés Moreno Tenjica, Estudent Member IEEE

Más detalles

Práctica 1. Introducción al diseño con Xilinx ISE.

Práctica 1. Introducción al diseño con Xilinx ISE. Práctica 1. Introducción al diseño con Xilinx ISE. 1. Introducción. Esta práctica sirve de introducción a la descripción de sistemas mediante el lenguaje VHDL. Para ello, emplearemos el simulador de VHDL

Más detalles

MODULO ANALOGICO REMOTO

MODULO ANALOGICO REMOTO MODULO ANALOGICO REMOTO ENTRADAS Y SALIDAS REMOTAS EN PLC MODICOM En diversas aplicaciones de automatización con PLCs, ya sea por razones de lograr la supervisión totalmente integrada de una planta o simplemente

Más detalles

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T INTRODUCCIÓN AL DISEÑO FPGA-DSP Cristian Sisterna, MSc UNSJ-C7T AGENDA Introducción Bloques DSPs en ilinx FPGAs MatLab/Simulink Simulink ilinx System Generator Ejemplo de Diseño con SysGen 2 ALGORITMOS

Más detalles

Tarjeta Desarrollo dspic. Bogobots ITEM-CEM

Tarjeta Desarrollo dspic. Bogobots ITEM-CEM Tarjeta Desarrollo dspic Bogobots ITEM-CEM El micro dspic30f4013 El microcontrolador utilizado en la tarjeta es un dispositivo de procesamiento digital desarrollado por la compañía Microchip, el cual cumple

Más detalles

Uso de DCMs y simulación con tiempos

Uso de DCMs y simulación con tiempos Práctica Especial EDCD 1 Uso de DCMs y simulación con tiempos Objetivos Realizar un ejemplo sencillo de uso de un DCM (Digital Clock Manager), bloque de hardware dedicado en las FPGAs de Xilinx, usado

Más detalles

Laboratorio de sistemas Digitales I LAB 2. Escuela Técnica Superior de Ingeniería (ICAI) Departamento de Electrónica y Automática

Laboratorio de sistemas Digitales I LAB 2. Escuela Técnica Superior de Ingeniería (ICAI) Departamento de Electrónica y Automática Escuela Técnica Superior de Ingeniería (ICAI) Departamento de Electrónica y Automática Lab-2: INTRODUCCIÓN A LA CAPTURA DE ESQUEMAS Y LA COMPILACIÓN CON QUARTUS II OBJETIVOS En esta práctica se empieza

Más detalles

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO 3.1 INTRODUCCIÓN Las señales provenientes de una tarjeta de video de una computadora son formatos estandarizados que podemos emplear para desplegar información

Más detalles

DISEÑO DE PLACAS DE PROTOTIPADO CON FPGAs VIRTEX Y APLICACIÓN PARA LABORATORIOS DOCENTES

DISEÑO DE PLACAS DE PROTOTIPADO CON FPGAs VIRTEX Y APLICACIÓN PARA LABORATORIOS DOCENTES DISEÑO DE PLACAS DE PROTOTIPADO CON FPGAs VIRTEX Y APLICACIÓN PARA LABORATORIOS DOCENTES Gerardo Leyva 1, Felipe Rizo 2, Carlos Carreras 1, Octavio Nieto-Taladriz 1 1 Universidad Politécnica de Madrid.

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

PLATAFORMA DE DISEÑO QUARTUS

PLATAFORMA DE DISEÑO QUARTUS PLATAFORMA DE DISEÑO QUARTUS 199 Pasos para trabajar en la tarjeta intel DE2i-150 FPGA: Lo primero que se debe hacer es prender la tarjeta y esperar a que se cargue el sistema operativo UBUNTU. Ver la

Más detalles

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10).

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). GAL22V10 12 entradas dedicadas 10 pines E/S Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). 10 OLMCs disponibles. OLMCs programables como E o S combinacional o como S

Más detalles

ÍNDICE MANUAL DE USUARIO CONVERSOR TCP/IP A RS232 Y TCP/IP A RS485 1. CONVERSORES TCP A 232 / TCP A 485... 3. 1.1. Características.

ÍNDICE MANUAL DE USUARIO CONVERSOR TCP/IP A RS232 Y TCP/IP A RS485 1. CONVERSORES TCP A 232 / TCP A 485... 3. 1.1. Características. MANUAL DE USUARIO CONVERSOR TCP/IP A RS232 Y TCP/IP A RS485 ZEBRA ELECTRÓNICA 2 ÍNDICE MANUAL DE USUARIO CONVERSOR TCP/IP A RS232 Y TCP/IP A RS485 Pág. 1. CONVERSORES TCP A 232 / TCP A 485... 3 1.1. Características.

Más detalles

Programador DM390 - Versión 3

Programador DM390 - Versión 3 Manual de Usuario Programador DM390 - Versión 3 El Programador DM390 es una aplicación de software desarrollada por RightKey. Su función principal es la configuración de los Discadores Monitoreados DM390

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos generales

Más detalles

SPARTAN 3E STARTER BOARD

SPARTAN 3E STARTER BOARD SPARTAN 3E STARTER BOARD Fabio Schygiel Diseño de Sistemas con FPGA Placa de desarrollo Spartan 3E Starter Características Principales Xilinx XC3S500E Spartan-3E FPGA Xilinx 4 Mbit Platform Flash configuration

Más detalles

Buceando en el HC908...

Buceando en el HC908... COMENTARIO TÉCNICO Buceando en el HC908... Por Ing. Daniel Di Lella Dedicated Field Application Engineer www.edudevices.com.ar dilella@arnet.com.ar Practicando con el CodeWarrior 5.1/6.x y el sistema R(S)_POD...

Más detalles

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK OBJETIVO: Implementar un sistema embebido personalizado en la Spartan3e, utilizando

Más detalles

Investigación y Desarrollos en Open Hardware

Investigación y Desarrollos en Open Hardware Investigación y Desarrollos en Open fabioe@dynamoelectronics.com www.dynamoelectronics.com Investigación y desarrollo hardware y software 1. Por que hacer desarrollos con software y hardware? 2. Que opciones

Más detalles

1-Introducción. KIT ARM- Ténicas Digitales II - Ing. Ruben M. Lozano /9

1-Introducción. KIT ARM- Ténicas Digitales II - Ing. Ruben M. Lozano /9 1-Introducción -Este apunte pretende ser una guía para aquellos alumnos que se inician en la programación de microntroladores con arquitectura ARM, utilizando como plataforma de hardware el kit de desarrollo

Más detalles

Prueba del Driver ModBus

Prueba del Driver ModBus Prueba del Driver ModBus Prueba de la placa Elementos y Conexiones Elementos: - Placa 232-485 - Driver ModBus - Master SPI (µc en protoboard, ver más abajo) - PC + software de prueba dedicado, o genérico

Más detalles

Descarga e Instalación de Java Development Kit (JDK)

Descarga e Instalación de Java Development Kit (JDK) Instalación del SDK de Android en Eclipse Por M.T.I. Jorge Carranza Gómez Para desarrollar aplicaciones para el Sistema Operativo Android, se deben revisar los requisitos mínimos para instalar el entorno

Más detalles

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2008 Memorias Clasificación según acceso: Aleatorio Volátiles No

Más detalles

GUÍA RÁPIDA CONFIGURACIÓN PLUGIN Y DRIVER KRONOS NET

GUÍA RÁPIDA CONFIGURACIÓN PLUGIN Y DRIVER KRONOS NET GUÍA RÁPIDA CONFIGURACIÓN PLUGIN Y DRIVER KRONOS NET TÍTULO GUÍA CONFIGURACIÓN PLUGIN Y DRIVER VERSIÓN 1.00 FECHA 2015 07 23 ESTADO POR REVISAR COD CONTROL GUÍA CONFIGURACIÓN PLUGIN Y DRIVER Los drivers

Más detalles

Manual de Usuario de placa IIE-PCI

Manual de Usuario de placa IIE-PCI Manual de Usuario de placa IIE-PCI... 2 Manual de Usuario de placa IIE-PCI Manual de Usuario de placa IIE-PCI 3 Tabla de contenido... Manual de Usuario de placa IIE-PCI... Tabla de contenido...3 Características

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

USB232. Hoja de datos

USB232. Hoja de datos - 1 - USB232 Hoja de datos 9600, 19200, 38400, 57600, 115200 bps Interfaz USB serie RS232 Integración de tecnología USB Para sistemas con comunicación serie RS232 - 2 - USB232 Interfaz USB serie RS232

Más detalles

Práctica nº 6. Implementación sobre circuitos programables.

Práctica nº 6. Implementación sobre circuitos programables. Grado en Ingeniería de Tecnologías de Telecomunicación. Escuela Técnica Superior de Ingeniería Industrial y de Telecomunicación. Electrónica Digital I. Práctica nº 6. Implementación sobre circuitos programables.

Más detalles

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Universal Asynchronous receiver and transmitter: dispositivo (controlador ) que envía datos paralelos sobre una línea serie.

Más detalles

Seleccione en el escritorio el programa Sucosoft S40 y darle doble click.

Seleccione en el escritorio el programa Sucosoft S40 y darle doble click. Programación y manejo de Sucosoft S40: Cómo Programar? Seleccione en el escritorio el programa Sucosoft S40 y darle doble click. Aparece una ventana denominada administrador Sucosoft en la cual se encuentra

Más detalles

EC02 CONTROLADOR ELECTRONICO PROGRAMABLE

EC02 CONTROLADOR ELECTRONICO PROGRAMABLE EC02 CONTROLADOR ELECTRONICO PROGRAMABLE Los controladores EC02 fueron diseñados para ser programados en aplicaciones de pocas entradas salidas, como una opción extremadamente versátil, robusta, eficiente

Más detalles

MANUAL DE USUARIO. HARDWARE DE CONTROL DE ACCESO ZC500 v3

MANUAL DE USUARIO. HARDWARE DE CONTROL DE ACCESO ZC500 v3 MANUAL DE USUARIO HARDWARE DE CTROL DE ACCESO ZC500 v3 ZEBRA ELECTRÓNICA ELECTRÓNICA 2 ÍNDICE MANUAL DE USUARIO HARDWARE DE CTROL DE ACCESO ZC500 ZEBRA ELECTRÓNICA S.A. Pág. ESPECIFICACIES...3 1. DESCRIPCIÓN

Más detalles

MEMORIAS Y LÓGICA PROGRAMABLE

MEMORIAS Y LÓGICA PROGRAMABLE MEMORIAS Y LÓGICA PROGRAMABLE CONCEPTOS BÁSICOS LDD 2007-08 1 Memorias Almacenan gran cantidad de información (datos). Esquema lógico básico: DIR p MEM p 2 * n n DAT DAT n Señales de control LDD 2007-08

Más detalles

PRÁCTICA DE SÍNTESIS nº2

PRÁCTICA DE SÍNTESIS nº2 PRÁCTICA DE SÍNTESIS nº2 Sistemas secuenciales: detector de trama y contador 1.- Introducción En esta práctica hay que implementar un detector de trama a partir de una máquina tipo Mealy. El detector debe

Más detalles

Metodología para el Diseño de Interfaces de Usuario para Sistemas con FPGA

Metodología para el Diseño de Interfaces de Usuario para Sistemas con FPGA Metodología para el Diseño de Interfaces de Usuario para Sistemas con FPGA Juárez Buenrostro Ángel*, Vázquez Guerrero Mónica, Aceves Fernández Marco Antonio, Ramos Arreguín Carlos Alberto y Ramos Arreguín

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

1.3 WWW.TRANSLIFT.COM.VE

1.3 WWW.TRANSLIFT.COM.VE Osciloscopio USB 40MS/s Manual del usuario 1.3 Especificaciones Osciloscopio Digital USB para PC 40MS/s Conexión USB ópticamente aislada. Analizador Lógico de 8 bits (No simultaneo con la función osciloscopio)

Más detalles

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada ABEL Para Síntesis Dr. Juan C. Herrera Lozada jlozada@ipn.mx Caso de Estudio 1: Diseño Combinacional ABEL permite la descripción de circuitos mediante tablas de verdad, ecuaciones y diagramas de estado.

Más detalles

Sistema de Monitoreo de Temperaturas. Marca BITLINK

Sistema de Monitoreo de Temperaturas. Marca BITLINK Sistema de Monitoreo de Temperaturas Marca BITLINK 1 Índice Descripción del Sistema Pag. 3 Diagrama del sistema Pag. 5 Configuracion de la Red Local (LAN) Pag. 6 Configuración de textos en el BIT2000 Pag.

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

SPANISH VLSI / Embedded Sistema Formador (XPO - EST) (CPLD / FPGA / 89C51 / PIC / ARM etc.) (Una familia de sistemas de formación)

SPANISH VLSI / Embedded Sistema Formador (XPO - EST) (CPLD / FPGA / 89C51 / PIC / ARM etc.) (Una familia de sistemas de formación) SPANISH VLSI / Embedded Sistema Formador (XPO - EST) (CPLD / / 89C51 / PIC / ARM etc.) (Una familia de sistemas de formación) Características Sobresalientes Diseñado estéticamente moldeada Caja de plástico.

Más detalles