Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital"

Transcripción

1 Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos y segundos. La visualización de los minutos y segundos se realizará sobre cuatro displays de 7 segmentos. Fig. 1. Sistema a implementar. El sistema a diseñar, descrito en la Fig.1, dispone de una señal de reloj de 50 MHz (CLK_50MHz), un pulsador para inicializar la cuenta del tiempo transcurrido (Reset), y otro pulsador para iniciar o detener la cuenta (Inicio_Paro). Las salidas del sistema corresponden con el bus de datos que va a los cuatro displays de 7 segmentos (display) y las señales de selección de cada uno de los displays (AN0, AN1, AN2 y AN3) que nos permitirán hacer la multiplexación en tiempo y así poder utilizar los 4 displays de forma simultánea. Para el diseño del cronómetro digital se propone el esquema de bloques descrito en la Fig. 2.

2 Fig. 2. Esquema de bloques propuesto. 1. Diseño de la etapa de visualización. (1 pto) El módulo de visualización descripto en la Fig. 3, se ha de encargar de mostrar los datos correspondientes a la cuenta del cronómetro (unidades de segundo, decenas de segundo, etc), sobre los cuatro displays. Visualización Unidades_segundo Decenas_segundo Unidades_minuto Decenas_minuto Mux_ 4a4 D0 D1 D2 Y D3 BCD_7seg entrada display 8 bits display S1 S0 Dec_2a4 P0 P1 E0 E1 Y0 Y1 Y2 Y3 AN0 AN1 AN2 AN3 Fig. 3. Esquema de la etapa de visualización. 1.1 Diseño del bloque BCD_7seg. El bloque BCD_7seg corresponde con un circuito combinacional de decodificación. La entrada codifica en binario con el valor a visualizar en uno de los cuatro displays, dicho valor estará comprendido entre 0 y 9. La salida corresponde con los 7 segmentos del display más el punto. Ambas señales son del tipo std _logic_vector, en el caso de la señal de salida, el elemento de menor peso corresponde con el punto del display "dp" y el de mayor peso al segmento "a".

3 1.2 Diseño del bloque Mux_4a4. El bloque Mux_4a4 corresponde con un multiplexor de cuatro entradas de cuatro bits. Este bloque nos permitirá seleccionar uno de los datos a visualizar en los cuatro displays (unidades de segundo, decenas de segundo, etc) en función de las señales P0 y P Diseño del bloque Dec_2a4. El bloque Dec_2a4 corresponde con un decodificador 2 a 4 con las salidas negadas. Dicho bloque ha de generar el valor de las señales de salida ANO, AN1, AN2 y AN3 para habilitar el display en el que se tiene que visualizar el dato presente en la salida del bloque BCD_7seg, en función de las señales P0 y P1. En la Tabla I se describe el funcionamiento de dicho bloque. E1 E0 Y0 Y1 Y2 Y Tabla I. Tabla de la verdad del bloque Dec 2a4 2. Diseño de la etapa de selección de datos y de los contadores. (2 ptos) Para realizar la multiplexación de los datos a mostrar en los cuatro displays (unidades de segundo, decenas de segundo, etc) se empleará un contador binario de 2 bits (Fig. 4) para generar las señales P0 y P1 que gestionan la visualización de los cuatro displays. A demás de la gestión de la etapa de visualización, es necesario diseñar los contadores que se encargarán de realizar la cuenta de los segundos y minutos transcurridos. Tal y como se muestra en el esquema de la Fig. 5, se utilizarán dos contadores módulo 60 para llevar la cuenta de los segundos y minutos transcurridos.

4 Selector de los datos a visualizar Enable_1kHz CLK_10MHz Contador_2bits CE QO Q1 CLK Reset PO P1 Reset Fig. 4. Esquema de la etapa de selección de datos. Fig. 5. Esquema de la etapa de contadores. 2.1 Contador binario de 2 bits. Este bloque consiste en un contador binario de dos bits con una entrada de habilitación (CE) para permitir realizar la cuenta cada vez que transcurra un 1 ms. Por este motivo, a demás de la señal de reloj (CLK_10MHz) es necesario la utilización de la señal Enable_1kHz. Las salidas Q0 y Q1 corresponden con las señales P0 y P1 que nos permiten gestionar la multiplexación de los datos a visualizar sobre los cuatro displays. 2.2 Contador Módulo 60. El contador de módulo 60 se diseñará a partir de dos contadores de módulo 6 y módulo 10. Estos contadores nos permitirán gestionar la cuenta de los segundos y minutos transcurridos. La implementación de los contadores de módulo 6 y módulo 10 se realizará utilizando un contador de módulo arbitrario. Para poder describir un contador de módulo arbitrario, en la descripción del componente se añadirá un atributo adicional ( max ) que nos permitirá especificar el valor máximo de cuenta y

5 así poder modificar su módulo. Este atributo se añadirá a la hora de crear el componente mediante la sintaxis GENERIC, tal y como se describe en la Fig. 6. COMPONENT Contador Generic (max:integer); Port (CLK: in std_logic; Reset: in std_logic; CE: in std_logic; Tc: out std_logic; CNT: out std_logic_vector (3 downto 0)); END COMPONENT; Fig. 6. Declaración del contador con el atributo max. Una vez declarado el componente Contador, además de asociar las señales de entrada y de salida, definiendo las interconexiones necesarias para la implementación del contador de módulo 60, es necesario especificar el valor del atributo ( max ) y así acabar de especificar el máximo valor de cuenta de cada uno de los contadores. Para ello hay que seguir la sintaxis que se muestra en la Fig. 7, donde se puede observar la instanciación correspondiente al contador que nos proporciona las unidades de segundo. nombre_del_bloque :Contador generic map (max=>9)--módulo 10 port map (CLK=>..., Reset=>..., CE=>..., Tc=>... CNT=>...); Fig. 7. Especificación del atributo max. 3. Diseño de la etapa de generación de las señales de Enable. (2 ptos) El diseño del cronometro necesita una señal de frecuencia 1Hz para poder contar unidades de segundos, y otra señal de 1 khz para gestionar la multiplexación de los datos a visualizar sobre los displays de 7 segmentos. Fig. 8. Esquema de la etapa de generación de las señales de Enable.

6 3.1 Unidad de Control. Este bloque consiste en una máquina de estados finitos que gestiona el inicio y paro del cronómetro, actuando sobre la señal de habilitación del Divisor de frecuencia. En la Fig. 9 se muestra el diagrama de estados correspondiente a la Unidad de Control. Inicio_Paro= 1' Inicio_Paro= 0' Inicio_Paro= 1' Paro2 EO= 0' Inicio_Paro= 0' Inicio_Paro= 0' Reset Paro1 EO= 0' Marcha1 EO= 1' Inicio_Paro= 0' Inicio_Paro= 1' Marcha2 EO= 0' Inicio_Paro= 1' Fig. 9. Diagrama de estados de la Unidad de Control. 3.2 Div_freq. Este bloque consiste en un divisor de frecuencia para la generación de las señales de habilitación de 1Hz y 1 khz. En la Fig. 10 se muestra el diagrama de estados de un divisor de frecuencia genérico. Nota: EN-1 corresponde al estado N-1, donde N es el valor por el cual se quiere dividir la frecuencia de entrada. Fig. 10. Diagrama de estados de un divisor de frecuencia genérico

7 4. Implementación del sistema. (1 pto) En este apartado se pretende implementar el diseño del cronómetro digital sobre la placa de evaluación de Digilent, que incorpora la FPGA XC3S200 de la serie Spartan 3 del fabricante Xilinx. Una vez descrito el comportamiento en lenguaje VHDL de los bloques que consta el diseño, se procederá a la descripción del sistema a implementar utilizando una descripción estructural. Como restricciones del sistema habrá que tener en cuenta la asignación de pines de la Tabla II. SEÑAL PIN Inici_Paro M13 Reset L14 CLK_50MHz T9 display(0) P16 display(1) N16 display(2) F13 display(3) R16 display(4) P15 display(5) N15 display(6) G13 display(7) E14 AN3 E13 AN2 F14 AN1 G14 AN0 D14 Tabla II. Asignación de pines.

8 5. Ampliación del sistema. (4 ptos) La ampliación del diseño consiste en añadir la opción poder seleccionar entre la utilización del cronometro o de un temporizador. En el caso de que el sistema actué como un temporizador, se deberá de poder establecer el tiempo a temporizar. Una vez transcurra el tiempo establecido, se procederá a hacer parpadear un led de la placa de evaluación con una frecuencia de 1 Hz.

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

PRÁCTICA 4. CONTADORES

PRÁCTICA 4. CONTADORES PRÁCTICA 4. CONTADORES 1. Objetivo En esta práctica se va a estudiar el funcionamiento de los circuitos secuenciales, tomando como ejemplo varios contadores. 2. Material necesario La práctica se realizará

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales.

PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales. Montaje y evaluación de sistemas secuenciales. 1.- Objetivos: El objetivo de este módulo es familiarizar al alumno con los sistemas secuenciales partiendo del más sencillo (un biestable) para llegar al

Más detalles

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Iván González, Juan González, Francisco Gómez-Arribas Escuela Politécnica Superior Universidad Autónoma de Madrid

Más detalles

Proyecto de Electrónica. Contador digital de 0 a 9

Proyecto de Electrónica. Contador digital de 0 a 9 Proyecto de Electrónica Contador digital de 0 a 9 La finalidad del proyecto consiste en mostrar en un display un conteo de cero a nueve, donde la velocidad de conteo podrá ser regulada. Componentes a utilizar

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

Prácticas de Máquina Sencilla con FPGA

Prácticas de Máquina Sencilla con FPGA TITULACIÓN: Enginyeria Tècnica Industrial en Electrònica Industrial AUTOR: Alan Morato Gomis DIRECTOR: Enrique F. Cantó Navarro FECHA: junio del 2011. Índice General 1 Índice General 2 Introducción Pág.

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

GUIA DE COMPONENTE PRACTICO

GUIA DE COMPONENTE PRACTICO GUIA DE COMPONENTE PRACTICO Con el propósito de fomentar el desarrollo de habilidades en el diseño e implementación física de circuitos digitales, se ha diseñado un componente práctico que será desarrollado

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Antecedentes: Memorias como ROM etc. ANEXO: Formulario. Resumen de circuitos combinacionales y secuenciales TIP: Códigos para copiar rápido en Word,

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

PRÁCTICA DE SÍNTESIS

PRÁCTICA DE SÍNTESIS PRÁCTICA DE SÍNTESIS El sumador restador en complemento a dos 1.- Introducción Mediante el complemento a dos se simplifica la circuitería necesaria para realiar las operaciones suma y resta de números

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

Manipulación de un Brazo Robótico por medio de una Tarjeta FPGA

Manipulación de un Brazo Robótico por medio de una Tarjeta FPGA Manipulación de un Brazo Robótico por medio de una Tarjeta FPGA Felipe Santiago Espinosa, Yukio Rosales Luengas, Alejandro Rivera García, Adrián Humberto Martínez Vásquez Instituto de Electrónica y Mecatrónica,

Más detalles

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática Paulino Ruiz de Clavijo Vázquez

Más detalles

Ejercicios. Arquitectura de Computadoras. José Garzía

Ejercicios. Arquitectura de Computadoras. José Garzía jercicios de rquitectura de Computadoras José Garzía n la figura se representa el diagrama de flujo de un algoritmo. B X Y +B í + 7=? No B B+ C +B Los registros, B y C tienen una longitud de 8 bits. 7

Más detalles

Implementación en FPGA de Máquinas de Estados con VHDL

Implementación en FPGA de Máquinas de Estados con VHDL 1 Encuentro de Investigación en Ingeniería Eléctrica Zacatecas, Zac, Abril 5 7, 2006 Implementación en FPGA de Máquinas de Estados con VHDL Miguel Ángel Porta García, Marlen Meza, Perla Saldívar, Oscar

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

Práctica 6. Diseño Lógico Digital mediante VHDL

Práctica 6. Diseño Lógico Digital mediante VHDL Práctica 6. Diseño Lógico Digital mediante VHDL 6.1. Objetivos Aprender a diseñar circuitos lógicos digitales mediante el uso de lenguajes de descripción de hardware como VHDL. 6.2. Introducción al VHDL

Más detalles

FUNDAMENTOS DEL MATERIAL INFORMÁTICO Curso 2010-2011

FUNDAMENTOS DEL MATERIAL INFORMÁTICO Curso 2010-2011 FUNDAMENTOS DEL MATERIAL INFORMÁTICO Curso 2010-2011 PRÁCTICA 2: Diseño del circuito controlador del tráfico de un cruce de carreteras OBJETIVO: Diseño y montaje de un circuito que controle las luces,

Más detalles

Adquisición de audio con un micrófono con fpga

Adquisición de audio con un micrófono con fpga Adquisición de audio con un micrófono con fpga Sergio Encina Charles Trujillo 1. Introducción En el presente trabajo es parte de un proyecto que busca medir la diferencia de llegada de un impulso sonoro

Más detalles

Implementación de Filtros Digitales Tipo FIR en FPGA

Implementación de Filtros Digitales Tipo FIR en FPGA Implementación de Filtros Digitales Tipo FIR en FPGA Jesús Antonio Álvarez Cedillo, Klauss Michael Lindig Bos, Gustavo Martínez Romero Resumen En este artículo se hace la descripción del diseño de un filtro

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2012 Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración

Más detalles

PROGRAMA DE LA ASIGNATURA: SISTEMAS ELECTRÓNICOS DIGITALES

PROGRAMA DE LA ASIGNATURA: SISTEMAS ELECTRÓNICOS DIGITALES DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA HOJA 1 DE 8 PROGRAMA DE LA ASIGNATURA: SISTEMAS ELECTRÓNICOS DIGITALES CENTRO: TITULACIÓN: E.T.S. DE INGENIEROS DE TELECOMUNICACIÓN INGENIERO TÉCNICO DE TELECOMUNICACIÓN

Más detalles

PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS

PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS 1. Objetivo El objetivo de esta práctica es estudiar el funcionamiento de los contadores síncronos construidos a partir de biestables, y aprender cómo se pueden

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Universal Asynchronous receiver and transmitter: dispositivo (controlador ) que envía datos paralelos sobre una línea serie.

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

Universidad de Guanajuato FIMEE. Electrónica Digital II

Universidad de Guanajuato FIMEE. Electrónica Digital II Universidad de Guanajuato FIMEE Departamento de Electrónica Manual de prácticas Electrónica Digital II Ing. Dr. René de J. Romero Troncoso Verano 2006. Contenido: Introducción 3 Recomendaciones generales

Más detalles

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa Decodificadores y Demultiplexores Pedro Fernández Ignacio de la Rosa Decodificadores El trabajo de un decodificador, es recibir como entradas códigos en binario (N bits) y activar una de las M salidas,

Más detalles

Introducción a los Microprocesadores Facultad de Ingeniería UDELAR 2014

Introducción a los Microprocesadores Facultad de Ingeniería UDELAR 2014 Tutorial de hardware Introducción A través de esta guía y con ayuda de la herramienta Quartus II, aprenderás a modificar el hardware de un sistema con Z80 implementado en la placa DE0 que se utiliza en

Más detalles

PRÁCTICA DE SÍNTESIS nº2

PRÁCTICA DE SÍNTESIS nº2 PRÁCTICA DE SÍNTESIS nº2 Sistemas secuenciales: detector de trama y contador 1.- Introducción En esta práctica hay que implementar un detector de trama a partir de una máquina tipo Mealy. El detector debe

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos Secuenciales Circuitos secuenciales. Biestables. Registros. Contadores. Registros de desplazamiento

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

Circuitos Digitales CON José Manuel Ruiz Gutiérrez

Circuitos Digitales CON José Manuel Ruiz Gutiérrez Circuitos Digitales CON José Manuel Ruiz Gutiérrez j.m.r.gutierrez@gmail.com PRÁCTICAS DE CIRCUITOS DIGITALES Circuitos digitales básicos 1. Simulación de operadores lógicos básicos. Realizar la simulación

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

Modelo de examen tipo resuelto 1

Modelo de examen tipo resuelto 1 Modelo de examen tipo resuelto. Diseñar un sistema combinacional que tenga cinco entradas y dos salidas y que actúe de la siguiente forma: las cinco entradas (x 4 x 3 x 2 x x 0 ) representan una palabra

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez 4.3. Diseño de circuitos combinacionales

Más detalles

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL Sistemas Electrónicos Digitales Avanzados GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL PRÁCTICA FINAL GENERADOR AVANZADO DE FORMAS DE ONDA Dpto. Electrónica Curso 2013/2014 1. Introducción La

Más detalles

MICROCONTROLADORES PIC

MICROCONTROLADORES PIC MICROCONTROLADORES PIC LOS TIMER DE LOS 16F87x TEMA EL TIMER 1 CCFF D.P.E. MÓDULO DE PROYECTOS 1 Diagrama de Bloques del TIMER1 CCFF D.P.E. MÓDULO DE PROYECTOS 2 INTRODUCCIÓN El módulo TIMER1 es un temporizador/contador

Más detalles

Práctica Sistemas Digitales Alarma domótica

Práctica Sistemas Digitales Alarma domótica Práctica Sistemas Digitales Alarma domótica David Guerra Martín Roman Valls Guimerà Daniel Clemente Laboreo SDMI 22 E Abril 2005 Índice Planteamiento del problema...3 Diagrama de bloques......3 Elementos

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O Interfaz con Dispositivos I/O Interfaz con Dispositivos de Salida y Salida Unidad 4, Segunda Parte Port Mapped Memory mapped 1 2 Ejecución de la Instrucción OUT Ejecución de la instrucción OUT Dirección

Más detalles

TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS

TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS LENGUAJE C, MICROCONTROLADORES, FPGA, RTOS, APLICACIONES I Departamento de Sistemas e Informática FACULTAD DE CIENCIAS EXACTAS, INGENIERÍA Y AGRIMENSURA

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales Niveles de Abstracción en la Descripción de Sistemas Digitales 1 Metodologías de Diseño y Herramientas de CAD

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

TRABAJO DE FINAL DE CARRERA

TRABAJO DE FINAL DE CARRERA TRABAJO DE FINAL DE CARRERA TÍTULO DEL TFC: TITULACIÓN: AUTOR: DIRECTOR: Introducción al diseño de sistemas digitales reconfigurables en FPGA con VHDL y la tarjeta Spartan-3AN de Xilinx Ingeniería Técnica

Más detalles

7.5. Registros, contadores y registros de desplazamiento

7.5. Registros, contadores y registros de desplazamiento 7.5. Registros, contadores y registros de desplazamiento [ Wakerly 8.4 pág. 693] 7.5.1.1.Contadores síncronos y asíncronos 7.5.1.2.Señales síncronas de control 7.5.1.3.Divisores de frecuencia 7.5.2. Registros

Más detalles

ENSEÑANZA PRÁCTICA DE LOS MICROCONTROLADORES Y LAS FPGAS EN LOS NUEVOS PLANES DE ESTUDIOS

ENSEÑANZA PRÁCTICA DE LOS MICROCONTROLADORES Y LAS FPGAS EN LOS NUEVOS PLANES DE ESTUDIOS ENSEÑANZA PRÁCTICA DE LOS MICROCONTROLADORES Y LAS FPGAS EN LOS NUEVOS PLANES DE ESTUDIOS M.A. DOMÍNGUEZ, C. QUINTÁNS Y J. MARCOS Departamento Tecnología Electrónica. Escuela Técnica Superior de Ingeniería

Más detalles

PROCEDIMIENTO ALTERNATIVO PARA LA VERIFICACIÓN DE LA DURACIÓN DE LOS REGISTROS DE TEMPERATURA

PROCEDIMIENTO ALTERNATIVO PARA LA VERIFICACIÓN DE LA DURACIÓN DE LOS REGISTROS DE TEMPERATURA PROCEDIMIENTO ALTERNATIVO PARA LA VERIFICACIÓN DE LA DURACIÓN DE LOS REGISTROS DE TEMPERATURA CML 23/2011-03 Versión 00/2011 INDICE 1. OBJETO...3 2. PROCEDIMIENTOS ALTERNATIVOS... 3 2.1 Verificación de

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

CRISTÓBAL GARCÍA PÉREZ CRISTIAN EDWIN ARBOLEDA VALENCIA

CRISTÓBAL GARCÍA PÉREZ CRISTIAN EDWIN ARBOLEDA VALENCIA IMPLEMENTACIÓN DE TÉCNICAS DE GENERACIÓN DE MODULACIÓN POR ANCHO DE PULSO (PWM) UTILIZANDO DISPOSITIVOS DE LÓGICA PROGRAMABLE (FIELD-PROGRAMMABLE GATE ARRAY) PARA EL CONTROL DE INVERSORES TRIFÁSICOS CRISTÓBAL

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

CONTENIDO Capitulo 2. DE CONMUTACION

CONTENIDO Capitulo 2. DE CONMUTACION CONTENIDO 1.1. Introduccion 1 1.2. Postulados del Algebra de Boole 5 1.3. Algebra de Boole de dos elementos... 7 1.4. Dualidad 8 1.5. Teoremas del Algebra de Boole 8 1.6. La teoria de conjuntos como un

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

Módulo Didáctico para el Control de la Velocidad de un Motor de Inducción Trifásico Basado en la Tecnología de FPGA

Módulo Didáctico para el Control de la Velocidad de un Motor de Inducción Trifásico Basado en la Tecnología de FPGA Módulo Didáctico para el Control de la Velocidad de un Motor de Inducción Trifásico Basado en la Tecnología de FPGA Gutiérrez Granados Cuitláhuac cuitla@gmail.com Universidad Tecnológica de San Juan del

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

DESCRIPCION DEL SITEMA MASTER.

DESCRIPCION DEL SITEMA MASTER. DESCRIPCION DEL SITEMA MASTER. ESTRUCTURA. El sistema MASTER (Sistema Modular para Control Adaptativo en Tiempo Real) se ha implementado en base a un computador compatible PC-AT, dotado de una tarjeta

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Programa. Introducción. 2. Elementos de almacenamiento. 3. Elementos de proceso. 4. Elementos de interconexión.

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles