Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014"

Transcripción

1 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014

2 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden implementar funciones lógicas. Los recursos de interconexión conectan a las celdas entre sí o con los bloques de entrada y salida.

3 Estructura General Una celda lógica puede ser tan simple como un transistor o tan compleja como un microprocesador. Con las celdas se va a implementar lógica combinacional o secuencial, de diferentes complejidades. Comercialmente, los FPLDs utilizan celdas lógicas que están basadas en uno o mas de los siguientes elementos: Un par de Transistores. Arreglos de compuertas básicas, como NANDs y XORs de dos entradas. Multiplexores. Tablas de búsqueda (LUTs, Look-up tables). Estructuras AND-OR con muchas entradas.

4 Granularidad de una Celda Lógica Una celda lógica puede tener: Granularidad fina: La celda contiene pocos transistores y puede implementar solamente simples compuertas. Granularidad gruesa: La celda contiene un número grande de transistores, suficiente para implementar funciones lógicas grandes.

5 Par de Transistores Los FPGAs de Crosspoint Solutions utilizan un par de transistores como una celda lógica. Dado que los transistores están conectados en renglones, las compuertas se pueden aislar apagando los transistores que las limitan.

6 Par de Transistores

7 La Celda Plessey Plessey ofrece un FPGA cuya celda básica consiste de una compuerta NAND de dos entradas Si el latch no es necesario, la configuración lo deja transparente.

8 La Celda Plessey Por ejemplo, la función f = ab + c se puede implementar con dos compuertas NAND. A B C U1 AND_2 U3 NOT U2 OR_2 f A B C U4 NAND_2 U5 NAND_2 f

9 Otras Celdas de Grano Fino Algotronix utiliza una celda en donde, con un bloque de dos entradas, con base en multiplexores configurables, se puede realizar cualquier función de dos entradas. Concurrent Logic utiliza una celda que contiene una compuerta AND de dos entradas y una compuerta XOR de dos entradas. Toshiba ofrece un FPGA donde la celda se basa en compuertas NAND de dos entradas.

10 Celdas de Grano Fino La principal ventaja en el uso de celdas de grano fino es que éstas son completamente utilizadas. Es más fácil usar celdas lógicas pequeñas eficientemente. La principal desventaja es que los FPGAs requieren un número relativamente grande de segmentos de alambre e interruptores programables. Tales recursos de ruteo pueden resultar costosos en área y en el tiempo de respuesta del dispositivo.

11 Celdas basadas en Mux La familia Act-1 de Actel usa una celda de 8 entradas y una salida la cual contiene tres multiplexores de 2 a 1 y una compuerta OR. En la celda se pueden implementar todas las funciones combinacionales de dos entradas, todas las funciones de tres entradas con, al menos, una entrada positiva, muchas funciones de cuatro entradas y algunas de 5 a 8 entradas. En total se pueden implementar 702 funciones diferentes en la celda Act-1.

12 Celdas basadas en Mux La función de la celda es: f = (s 3 + s 4 ) (s 1 w + s 1 x)+ (s 3 + s 4 )(s 2 y + s 2 z)

13 Celdas basadas en Mux Por ejemplo, la función f = ab + c se puede implementar como sigue:

14 Celdas basadas en Mux f = (s 3 + s 4 ) (s 1 w + s 1 x)+ (s 3 + s 4 )(s 2 y + s 2 z) = (c + 0) ( )+ (c + 0)(b. 0 + b. a) =c (1 + 0)+ c(0+ ba) = c + cab = c + (c + c) ab = c + 1(ab) = ab+ c

15 Celdas basadas en Mux La celda lógica de los FPGAs de Quick Logic es similar a la de Actel en que usa tres multiplexores de 2 a 1, pero organizados como un mux de 4 a 1.

16 Celdas basadas en tablas Las celdas de Xilinx están basadas en el uso de SRAM como tablas de búsqueda (LUT, look-up table). La tabla de verdad para una función lógica de K-entradas está almacenada en una SRAM de 2 K x 1. Las líneas de dirección funcionan como entradas y el dato almacenado en la SRAM proporciona el valor de la función.

17 XC2000 CLB

18 XC3000 CLB

19 XC4000 CLB

20 Altera Flex 10KE

21 Granularidad y densidad La función f = abd + bcd + a b c se puede implementar con LUTs de diferentes dimensiones, como sigue: 2-LUT 3-LUT 4-LUT

22 Granularidad y Bits de Configuración Dado que cada K-LUT requiere de 2 K bits de configuración, La implementación en 2-LUT requiere 2 2 x 7 = 28 bits. En 3-LUT se necesitan 2 3 x 3 = 24 bits. En 4-LUT se requieren sólo 2 4 x 1 = 16 bits. Considerando los bits de configuración como una medida de área (costo en área), la implementación en 4-LUT ocupa un área lógica mínima.

23 Granularidad y Número de Bloques Lógicos

24 Granularidad y Retardos de Propagación La función f = abd + abc + acd se puede implementar usando únicamente compuertas NAND de dos entradas, como sigue: La ruta más larga requiere 4 niveles lógicos. Asumiendo un proceso CMOS a 1.2, una NAND de 2-entradas tiene un retardo de 0.7ns. La ruta crítica tiene un retardo de 4 x 0.7 = 2.8ns

25 Granularidad y Retardos de Propagación La misma función f = abd + abc + acd también se puede implementar usando 3-LUTs como sigue: La ruta más larga requiere 2 niveles lógicos. Asumiendo un proceso CMOS a 1.2, una 3-LUT tiene un retardo de 1.4ns. La ruta crítica tiene un retardo de 2 x 1.4 = 2.8ns

26 Niveles lógicos y retardos de propagación

27 LUT 1 Lógica aleatoria en FPGAs Se considera el mapeo de un circuito cualquiera en LUTs de 3 y de 5 entradas. LUT 2 LUT 4 LUT 3 Al incrementar el tamaño de las LUTs (incrementando el número de entradas), el número de LUTs puede ser reducido. Las LUTs son muy convenientes para la realización de lógica aleatoria. LUT 1 LUT 2

28 Operaciones Aritmeticas en FPGAs LUT 4 Se considera el mapeo de un sumador completo de 2 bits en LUTs de 3 entradas. Son necesarias 4 LUTs. Si se utilizan LUTs con un mayor número de entradas no se reducirá el número de LUTs necesarias para implementar al sumador. Sin un cambio en el número de salidas, se desperdiciarían recursos al emplear LUTs con un mayor número de entradas. LUT 3 LUT 2 LUT 1

3. CPLD S Y FPGA S UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA. Instituto de Electrónica y Mecatrónica S I S T E M A S D I G I T A L E S

3. CPLD S Y FPGA S UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA. Instituto de Electrónica y Mecatrónica S I S T E M A S D I G I T A L E S UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Instituto de Electrónica y Mecatrónica 3. CPLD S Y FPGA S S I S T E M A S D I G I T A L E S 1 M. C. Felipe Santiago Espinosa Octubre / 2017 INTRODUCCIÓN Los CPLDs

Más detalles

3. CPLD S Y FPGA S UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA. Instituto de Electrónica y Mecatrónica S I S T E M A S D I G I T A L E S

3. CPLD S Y FPGA S UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA. Instituto de Electrónica y Mecatrónica S I S T E M A S D I G I T A L E S UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Instituto de Electrónica y Mecatrónica 3. CPLD S Y FPGA S S I S T E M A S D I G I T A L E S 1 M. C. Felipe Santiago Espinosa Octubre / 2018 INTRODUCCIÓN Aplicaciones

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Simulación CPUs con FPGA o

Más detalles

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes.

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. 2 Lógica Estándar Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. Microprocesadores / DSP Enfoque distinto para diseño de sistemas

Más detalles

FPGA FIELD PROGRAMMABLE GATE ARRAY

FPGA FIELD PROGRAMMABLE GATE ARRAY FPGA FIELD PROGRAMMABLE GATE ARRAY Arreglo Programables A los circuitos digitales que son programables en hardware usando lenguajes como VHDL se les conoce como dispositivos lógicos programables (PLDs,

Más detalles

3. DISPOSITIVOS PROGRAMABLES EN CAMPO DE MAYOR DENSIDAD

3. DISPOSITIVOS PROGRAMABLES EN CAMPO DE MAYOR DENSIDAD UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Instituto de Electrónica y Mecatrónica 3. DISPOSITIVOS PROGRAMABLES EN CAMPO DE MAYOR DENSIDAD C I R C U I T O S D I G I T A L E S R E C O N F I G U R A B L E S 1

Más detalles

Computación reconfigurable: tecnología y hardware

Computación reconfigurable: tecnología y hardware Computación reconfigurable: tecnología y hardware Diseño de Arquitecturas VLSI Objetivos Describir el concepto de computación reconfigurable Enumerar las principales aplicaciones de los dispositivos reconfigurables

Más detalles

CAPÍTULO II: DISPOSITIVOS LÓGICOS PROGRAMABLES DISPOSITIVOS LÓGICOS PROGRAMABLES IEC FRANCISCO JAVIER TORRES VALLE

CAPÍTULO II: DISPOSITIVOS LÓGICOS PROGRAMABLES DISPOSITIVOS LÓGICOS PROGRAMABLES IEC FRANCISCO JAVIER TORRES VALLE II DISPOSITIVOS LÓGICOS PROGRAMABLES 9 2.1 CONCEPTOS FUNDAMENTALES muestran los circuitos básicos para la mayoría de los PLDs. La fabricación de dispositivos de lógica programable se basa en los siguientes

Más detalles

1. INTRODUCCIÓN A LOS CIRCUITOS DIGITALES PROGRAMABLES

1. INTRODUCCIÓN A LOS CIRCUITOS DIGITALES PROGRAMABLES UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Instituto de Electrónica y Mecatrónica 1. INTRODUCCIÓN A LOS CIRCUITOS DIGITALES PROGRAMABLES S I S T E M A S D I G I T A L E S 1 M. C. Felipe Santiago Espinosa Octubre

Más detalles

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE.

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. Iñigo Aguirre; Jose Angel Ezquerra. Universidad del País Vasco. Euskal Herriko Unibertsitatea. jtpagpoi@sc.ehu.es. RESUMEN Este trabajo tiene por

Más detalles

Universidad Carlos III de Madrid Electrónica Digital Ejercicios

Universidad Carlos III de Madrid Electrónica Digital Ejercicios 1. Dibuje el esquema de transistores de una puerta lógica que realice la función lógica f = ab(c+d) a) en tecnología NMOS b) en tecnología CMOS 2. El circuito que aparece en la figura pertenece a la familia

Más detalles

Arreglos de compuertas programables en campo, FPGA's. (compendio)

Arreglos de compuertas programables en campo, FPGA's. (compendio) Arreglos de compuertas programables en campo, FPGA's. (compendio) M. en C. Juan Carlos Gonzáles Robles. Jefe del Departamento de Producción y Adecuación de Tecnologías del CINTEC-IPN. Ing. Eduardo Vega

Más detalles

TECNOLOGIA. R = (Vcc Vd) / I (Vd: caida en el LED) INTENSIDAD LUMINICA: SE MIDE EN CANDELA (Cd)

TECNOLOGIA. R = (Vcc Vd) / I (Vd: caida en el LED) INTENSIDAD LUMINICA: SE MIDE EN CANDELA (Cd) TECNOLOGIA LED R = (Vcc Vd) / I (Vd: caida en el LED) INTENSIDAD LUMINICA: SE MIDE EN CANDELA (Cd) LED TIPICO: If = 10mA ---------> 1mCd Vcc Vd EXCITACION DE LEDS a) Led encendido, configuración NPN y

Más detalles

Introducción al Diseño Digital con FPGAs.

Introducción al Diseño Digital con FPGAs. Introducción al Diseño Digital con FPGAs www.emtech.com.ar Temario del curso Dia 1: Introducción y ejemplo practico paso a paso Dia 2: VHDL, flujo de diseño y otro ejemplo Dia 3: Detalles de diseño e implementacion

Más detalles

Microelectrónica. Vicente Baena Departamento de Ingeniería Electrónica. Tema 8 Disposi;vos Programables por el usuario (I)

Microelectrónica. Vicente Baena Departamento de Ingeniería Electrónica. Tema 8 Disposi;vos Programables por el usuario (I) Microelectrónica Vicente Baena Departamento de Ingeniería Electrónica. Tema 8 Disposi;vos Programables por el usuario (I) Indice Introducción Programación Bloques lógicos Interconeionado Bloques de entrada

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

UNIDAD 1. INTRODUCCIÓN A LOS DISPOSITIVOS LÓGICOS PROGRAMABLES (PLDs)

UNIDAD 1. INTRODUCCIÓN A LOS DISPOSITIVOS LÓGICOS PROGRAMABLES (PLDs) UNIDAD 1 INTRODUCCIÓN A LOS DISPOSITIVOS LÓGICOS PROGRAMABLES (PLDs) Tecnologías de diseño de sistemas digitales Definición y aplicaciones de los PLDs Tipos de PLDs Tecnologías de configuración de los

Más detalles

Componentes Digitales Estructurados

Componentes Digitales Estructurados Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 1 2 3 4 5 6 7 Los se construyen a partir de unidades más simples

Más detalles

Pr. Dr. Xavier Bonnaire

Pr. Dr. Xavier Bonnaire Pr. Dr. Xavier Bonnaire Slide 1 Temario Introducción Registros Multiplexores Codificadores y Decodificadores Archivos de Registros Unidad Aritmética Memorias Slide 2 Introducción Componentes Digital Estructurados

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Departamento de Tecnología Electrónica.

Departamento de Tecnología Electrónica. Departamento de Tecnología Electrónica. Tema 8 Dispositivos Programables por el usuario (I) Introducción a los Dispositivos Programables por el Usuario Circuitos Completamente a medida Células Estándar

Más detalles

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras 1121025 Arquitecaura de Computadoras - Introducción a la Arquitectura de Computadoras Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS Introducción a VHDL Sistemas digitales UTM-2006 JJVS Surgimiento de VHDL Necesidad de nuevos métodos ya que los clásicos (esquemáticos), llegan a ser ineficientes en diseños de altas escalas de integración.

Más detalles

Bloques estandarizados

Bloques estandarizados Bloques estandarizados Mario Medina C. mariomedina@udec.cl Más allá de las puertas lógicas Complejidad creciente de diseños hace necesario buscar nuevos niveles de abstracción por sobre las compuertas

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Dra. Adriana del Carmen Téllez Anguiano DISPOSITIVOS LÓGICOS PROGRAMABLES (PLD)

Dra. Adriana del Carmen Téllez Anguiano DISPOSITIVOS LÓGICOS PROGRAMABLES (PLD) DISPOSITIVOS LÓGICOS PROGRAMABLES (PLD) PLD Dispositivo lógico programable: Circuito prefabricado que incluyen dos capas de interconexión flexibles. Equivalen a miles de compuertas lógicas. Expresiones

Más detalles

4.1. Circuitos Digitales Configurables

4.1. Circuitos Digitales Configurables 4.1. Circuitos Digitales Configurables Los circuitos digitales configurable son sistemas electrónicos digitales cuya función se puede modificar utilizando solamente una parte de los elementos que los componen

Más detalles

Estudio del impacto de implementaciones de operadores aritméticos en dispositivos lógicos programables FPGA

Estudio del impacto de implementaciones de operadores aritméticos en dispositivos lógicos programables FPGA Estudio del impacto de implementaciones de operadores aritméticos en dispositivos lógicos programables FPGA Marino, Ariel Alberto Grupo de Investigación y Servicios en Electrónica y Control Facultad Regional

Más detalles

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos Bloques para el encaminamiento y/o transferencia de datos Multiplexor Demultiplexor Decodificador Codificador Bloques para el procesamiento de datos Comparador Bloques para la generación de funciones booleanas

Más detalles

Dispositivos de lógica programable

Dispositivos de lógica programable Dispositivos de lógica programable SISTEMAS ELECTRÓNICOS DIGITALES 2 o Curso Ingeniería Técnica Industrial Especialidad en Electrónica Industrial Dr. José Luis Rosselló Índice Conceptos generales Dispositivos

Más detalles

Sistemas Combinacionales

Sistemas Combinacionales Sistemas Combinacionales Tipos de Sistemas Digitales Puertas Lógicas Bloques Combinacionales Multiplexores Decodificadores/demultiplexores Decodificadores BCD a 7 segmentos Codificadores Comparadores Sumadores

Más detalles

Tema 2: Memorias y Dispositivos Lógicos Programables

Tema 2: Memorias y Dispositivos Lógicos Programables Tema 2: Memorias y Dispositivos Lógicos Programables 1. Memorias 1.1 Conceptos básicos 1.2 Clasificación de memorias semiconductoras. 1.3 Memorias de Acceso aleatorio - Memorias de sólo lectura (ROM).

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

LÓGICA PROGRAMABLE. Sergio Noriega

LÓGICA PROGRAMABLE. Sergio Noriega LÓGICA PROGRAMABLE Sergio Noriega - 2016 Exigencias del mercado actual: Equipos de complejidad creciente Especificaciones variables. Tiempos de desarrollos cada vez menores. Costos cada vez mas bajos.

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Diseño de circuitos combinacionales

Diseño de circuitos combinacionales Diseño de circuitos combinacionales Mario Medina C. mariomedina@udec.cl Diseño de circuitos combinacionales Métodos de minimización vistos permiten obtener funciones de dos niveles Tópicos en diseño de

Más detalles

Hardware Dinámicamente Reconfigurable. Julio Septién del Castillo Hortensia Mecha López

Hardware Dinámicamente Reconfigurable. Julio Septién del Castillo Hortensia Mecha López Hardware Dinámicamente Reconfigurable Julio Septién del Castillo Hortensia Mecha López Curso 3er Ciclo Abril 2003 Departamento de Arquitectura de Computadores y Automática Universidad Complutense de Madrid

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y Introducción Circuitos Bloques Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires

Más detalles

MEMORIAS Y LÓGICA PROGRAMABLE

MEMORIAS Y LÓGICA PROGRAMABLE MEMORIAS Y LÓGICA PROGRAMABLE CONCEPTOS BÁSICOS LDD 2007-08 1 Memorias Almacenan gran cantidad de información (datos). Esquema lógico básico: DIR p MEM p 2 * n n DAT DAT n Señales de control LDD 2007-08

Más detalles

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas Curso 07/08 Departamento de Arquitectura y Tecnología de Sistemas Informáticos - Facultad de Informática - Universidad

Más detalles

Diseño de Sistemas Digitales Utilizando FPGA

Diseño de Sistemas Digitales Utilizando FPGA Diseño de Sistemas Digitales Utilizando FPGA M. en C. Amadeo José Argüelles Cruz Profesor del CIC-IPN Ing. José Angel Ascencio Roman, Ing. José Felipe Villalobos Baigorría CIC-IPN E n la década de los

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Lógicos Combinatorios Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Combinatorios Un circuito combinatorio es un arreglo de compuertas lógicas con un conjunto de entradas y salidas.

Más detalles

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R.

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Arquitecturas de Computadores 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Temario 1. Introducción 2. Sistemas Combinacionales (SC) 3. Implantación de SC mediante PLA 4. Sistemas Secuenciales

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y Introducción Circuitos Bloques Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires

Más detalles

Sistemas Digitales I

Sistemas Digitales I UNIVERSIDAD INDUSTRIAL DE SANTANDER Sistemas Digitales I Taller No1 Profesor: Carlos A. Fajardo Mayo de 2015 Temas: Representación digital de los Datos, Algebra de Boole, Funciones Lógicas, Introducción

Más detalles

Tema 3: Operaciones aritméticas y lógicas

Tema 3: Operaciones aritméticas y lógicas Tema 3: Operaciones aritméticas y lógicas S Suma-resta en base dos S Operaciones lógicas: OR, AND, XOR y NOT S Operaciones de desplazamiento S Suma-resta en los diferentes sistemas de representación de

Más detalles

Microelectrónica Digital. Leopoldo García a Franquelo

Microelectrónica Digital. Leopoldo García a Franquelo Curso Máster: Microelectrónica Digital. Leopoldo García a Franquelo Departamento de Tecnología a Electrónica. Tema 2 Dispositivos Programables por el usuario (I) Introducción n a los Dispositivos Programables

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Dr. Marcelo Risk Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires 2017 Lógica

Más detalles

Frecuencia Máxima de un Sistema Digital Sincrónico (Básico)

Frecuencia Máxima de un Sistema Digital Sincrónico (Básico) Frecuencia Máxima de un Sistema Digital Sincrónico (Básico) Nota Técnica 8 Cristian Sisterna Introducción Comúnmente se expresa que un sistema puede funcionar satisfactoriamente a 100MHz, o a 133MHz o

Más detalles

Aplicaciones con transistor MOSFET

Aplicaciones con transistor MOSFET Aplicaciones con transistor MOSFET Lección 04.2 Ing. Jorge Castro-Godínez EL2207 Elementos Activos Escuela de Ingeniería Electrónica Instituto Tecnológico de Costa Rica I Semestre 2014 Jorge Castro-Godínez

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO DISEÑO DE SISTEMAS DIGITALES 1551 5 o 11 Asignatura Clave Semestre Créditos Ingeniería Eléctrica Ingeniería en Computación

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Asignaturas antecedentes y subsecuentes Diseño de Sistemas Digitales II

Asignaturas antecedentes y subsecuentes Diseño de Sistemas Digitales II PROGRAMA DE ESTUDIOS Diseño de Sistemas Digitales I Área a la que pertenece: Área Sustantiva Profesional Horas teóricas: 3 Horas prácticas: 2 Créditos: 8 Clave: F0157 Asignaturas antecedentes y subsecuentes

Más detalles

8-Síntesis Multinivel

8-Síntesis Multinivel 8-Síntesis Multinivel 8.1 Lógica de dos niveles con NOR y NN 8.2 Lógica multinivel 8.3 ompuertas N, OR, INVERT (OI) 8.4 Introducción a síntesis multinivel 8: Multinivel 1 Lógica de dos niveles con NOR

Más detalles

Tema 1: Microelectrónica. Técnicas de implementación de CID

Tema 1: Microelectrónica. Técnicas de implementación de CID TÉCNICAS DE IMPLEMENTACIÓN DE CID FULL-CUSTOM SEMI-CUSTOM CONSTRUCCIÓN DEL ESQUEMÁTICO A NIVEL DE TRANSISTORES CONSTRUCCIÓN DEL LAYOUT CELDAS ESTÁNDARES MATRIZ DE PUERTAS DISPOSITIVOS PROGRAMABLES: FPGA

Más detalles

METODOLOGIA DE DISEÑO DE CIRCUITOS INTEGRADOS DIGITALES

METODOLOGIA DE DISEÑO DE CIRCUITOS INTEGRADOS DIGITALES METODOLOGIA DE DISEÑO DE CIRCUITOS INTEGRADOS DIGITALES MANUEL JESÚS BELLIDO DÍAZ ANGEL BARRIGA BARROS GUIÓN DEL TEMA INTRODUCCIÓN METODOLOGÍA DE DISEÑO TÉCNICAS DE IMPLEMENTACIÓN DE CID COMPARACIÓN ENTRE

Más detalles

Estructura interna de una memoria RAM estática

Estructura interna de una memoria RAM estática Estructura interna de una memoria RAM estática Ciclos de lectura (Memoria RAM estática CY7C148) Ciclos de escritura (Memoria RAM estática CY7C148) Características temporales de la memoria Tipos: PROM

Más detalles

Electrónica Digital: Sistemas Numéricos y Algebra de Boole

Electrónica Digital: Sistemas Numéricos y Algebra de Boole Electrónica Digital: Sistemas Numéricos y Algebra de Boole Profesor: Ing. Andrés Felipe Suárez Sánchez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: andres.suarez@correounivalle.edu.co

Más detalles

Estructura interna de una memoria RAM estática

Estructura interna de una memoria RAM estática Estructura interna de una memoria RAM estática Ciclos de lectura (Memoria RAM estática CY7C148) Ciclos de escritura (Memoria RAM estática CY7C148) Características temporales de la memoria Tipos: PROM

Más detalles

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2008 Memorias Clasificación según acceso: Aleatorio Volátiles No

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS

CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS MAPA CONCEPTUAL DE LOS CIRCUITOS INTEGRADOS Circuitos Integrados Digitales Monolíticos (CIDM) Según la realización física Según la forma en que se realiza el

Más detalles

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital MARÍA ISABEL SCHIAVON - 2005 1907 1 950 RESEÑA HISTORICA 60 MSI 70 LSI microprocesador 1958 80 circuitos

Más detalles

Circuitos Combinatorios

Circuitos Combinatorios UNIDAD 5 Circuitos Combinatorios Introducción a la unidad Los circuitos combinatorios o circuitos combinacionales transforman un conjunto de entradas en un conjunto de salidas de acuerdo con una o más

Más detalles

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebra Booleana 4. Circuitos Lógicos Combinatorios

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebra Booleana 4. Circuitos Lógicos Combinatorios INDICE Prefacio XIII 1. Conceptos Introductorias 1 1.1. Representaciones numéricas 3 1.2. Sistemas digitales y analógicos 4 1.3. Sistemas de números digitales 6 1.4. Representación de cantidades binarios

Más detalles

Electrónica. Circuitos Digitales. 22/10/2009 Introducción a la Electrónica. Introducción a la Electrónica

Electrónica. Circuitos Digitales. 22/10/2009 Introducción a la Electrónica. Introducción a la Electrónica Introducción a la Electrónica Circuitos Digitales 1 Familias lógicas 2 Tecnologías Los circuitos lógicos son fabricados utilizando diferentes tecnologias. Cada familia lógica tiene ventajas y desventajas

Más detalles

Fundamentos de Electrónica Sistemas Digitales

Fundamentos de Electrónica Sistemas Digitales Sistemas Digitales 1. Deducir si el sistema que se propone se trata de un sistema combinacional o secuencial a. Un circuito que indique el número de vueltas realizadas a un circuito b. Un circuito que

Más detalles

TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009.

TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009. TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009. EJERCICIO 1 (1,0 punto). El suministro de energía eléctrica de dos ciudades (ver figura) está gestionado

Más detalles

Dispositivos lógicos programables (PLDs(

Dispositivos lógicos programables (PLDs( Dispositivos lógicos programables (PLDs( PLDs) SPLDs (Dispositivos lógicos programables simples) Estructuras lógicas basadas en planos AND-OR CPLDs (Dispositivos lógicos programables complejos) Combinan

Más detalles

6.1. Operadores aritméticos en binario natural

6.1. Operadores aritméticos en binario natural 6.1. Operadores aritméticos en binario natural 6.1.1. Puertas OR-Exclusiva y circuitos de paridad [ Wakerly 5.8. pág. 410] 6.1.2. Comparadores [ Wakerly 5.9. pág. 419] 6.1.3. Sumadores, restadores y ALUs

Más detalles

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo.

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo. 1 Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. El texto está orientado a un primer curso de diseño lógico en programas de estudios de ingenieros eléctricos, electrónicos, telemáticos y de ciencias

Más detalles

NOT. Ejemplo: Circuito C1

NOT. Ejemplo: Circuito C1 Métodos de diseño de circuitos digitales Sistemas combinacionales En un circuito combinacional los valores de las salidas dependen únicamente de los valores que tienen las entradas en el presente. Se construen

Más detalles

M. C. Felipe Santiago Espinosa

M. C. Felipe Santiago Espinosa Circuitos lógicos de Mediana Escala de Integración (MSI) M. C. Felipe Santiago Espinosa Cubículo 9 Instituto de Electrónica y Mecatrónica fsantiag@mixteco.utm.mx Abril 28 Contenido En esta presentación

Más detalles

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3 ELECTRÓNICA DIGITAL Ejercicios propuestos Tema Ejercicio. Convertir a binario natural, los siguientes números expresados en formato decimal. Puedes predecir a priori los bits que necesitarás para la representación

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37 ÍNDICE LISTA DE FIGURAS... 7 LISTA DE TABLAS... 11 CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN... 13 1.1. REPRESENTACIÓN DE LA INFORMACIÓN... 15 1.2. SISTEMAS DE NUMERACIÓN BINARIO NATURAL Y HEXADECIMAL... 18 1.3.

Más detalles

placas de prototipado (i) Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas

placas de prototipado (i) Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas 1 Material docente en los laboratorios de la Facultad de Informática Diseño Automático de Sistemas José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

FPGAs. Susana Borromeo Área de Tecnología Electrónica. Diseño de Sistemas Electrónicos. 2014/2015. Metodología de Diseño. Características generales

FPGAs. Susana Borromeo Área de Tecnología Electrónica. Diseño de Sistemas Electrónicos. 2014/2015. Metodología de Diseño. Características generales FPGAs Susana Borromeo Área de Tecnología Electrónica Esquema Conceptos generales Dispositivos Lógicos Programables FPGAs Metodología de Diseño VHDL Características generales VHDL Comportamental y Estructural

Más detalles

Para implementar una memoria de mayor capacidad se deben considerar los siguientes pasos:

Para implementar una memoria de mayor capacidad se deben considerar los siguientes pasos: UNIVERSIDAD NACIONAL AUTONOMA DE MEXICO FACULTAD DE INGENIERIA LAB. DISPOSITIVOS DE ALMACENAMIENTO Y DE E/S PREVIO #5 IMPLEMENTACION DE MEMORIAS DE MAYOR CAPACIDAD 1. Investigar los pasos que se deben

Más detalles

Código: Titulación: ING. TÉCNICO IND. EN ELECTRÓNICA INDUSTRIAL Curso: 2

Código: Titulación: ING. TÉCNICO IND. EN ELECTRÓNICA INDUSTRIAL Curso: 2 ASIGNATURA: ELECTRÓNICA DIGITAL Código: 126212006 Titulación: ING. TÉCNICO IND. EN ELECTRÓNICA INDUSTRIAL Curso: 2 Profesor(es) responsable(s): JOSE ALFONSO VERA REPULLO - Departamento: TECNOLOGÍA ELECTRONICA

Más detalles

Dispositivos de lógica programable

Dispositivos de lógica programable Dispositivos de lógica programable SISEMAS ELECRÓNICOS DIGIALES 2 o Curso Ingeniería écnica Industrial Especialidad en Electrónica Industrial Dr. José Luis Rosselló Índice Conceptos generales Dispositivos

Más detalles

FIELD PROGRAMMABLE GATE ARRAY (FPGA)

FIELD PROGRAMMABLE GATE ARRAY (FPGA) FIELD PROGRAMMABLE GATE ARRAY 21 FIELD PROGRAMMABLE GATE ARRAY (FPGA) 2.1. QUÉ ES UN FPGA? Un FPGA (field programmable gate array) es un dispositivo semiconductor que contiene componentes lógicos programables

Más detalles

Circuitos lógicos combinacionales. Tema 6

Circuitos lógicos combinacionales. Tema 6 Circuitos lógicos combinacionales Tema 6 Qué sabrás al final del capítulo? Implementar funciones con dos niveles de puertas lógicas AND/OR OR/AND NAND NOR Analizar sistemas combinacionales, obteniendo

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás rredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: ontemporary Logic Design 1 st / 2 nd edition. Gaetano orriello and Randy Katz.

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

4º Jornadas ITE Facultad de Ingeniería - UNLP IMPLEMENTACIÓN DE MULTIPLICADOR DE 4 BITS

4º Jornadas ITE Facultad de Ingeniería - UNLP IMPLEMENTACIÓN DE MULTIPLICADOR DE 4 BITS IMPLEMENTACIÓN DE MULTIPLICADOR DE 4 BITS Juan De La Puente, Joaquín Hernán Costa, Edgardo Ricci UIDET CeTAD, Calle 116 y 48 Depto. de Electrotecnia (2do piso) Facultad de Ingeniería, UNLP. La Plata (1900).

Más detalles

Por su tipo de acceso las memorias se clasifican en:

Por su tipo de acceso las memorias se clasifican en: Memorias Por su tipo de acceso las memorias se clasifican en: acceso aleatorio. El valor de [m (t+1)] es independiente de t Estas memorias, en inglés, se denominan Random Access Memory(RAM) acceso secuencial.

Más detalles

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0 PRACTICAS DE GAL S Software WinCupl 5.0 10 PRACTICAS DE GAL S (22V10) 1.- Compuerta and de dos entradas. 2.- Una compuerta or y una compuerta and de dos entradas. 3.- Decodificador de binario a decimal.

Más detalles

ÍNDICE TEMÁTICO. 4 Características de las familias lógicas Circuitos lógicos combinacionales

ÍNDICE TEMÁTICO. 4 Características de las familias lógicas Circuitos lógicos combinacionales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉICO FACULTAD DE ESTUDIOS SUPERIORES CUAUTITLÁN LICENCIATURA: INGENIERÍA EN TELECOMUNICACIONES, SISTEMAS Y ELECTRÓNICA DENOMINACIÓN DE LA ASIGNATURA: Sistemas Digitales

Más detalles

Asignaturas antecedentes y subsecuentes

Asignaturas antecedentes y subsecuentes PROGRAMA DE ESTUDIOS DISEÑO DE SISTEMAS DIGITALES I Área a la que pertenece: Área de Formación Transversal Horas teóricas: 3 Horas prácticas: 2 Créditos: 8 Clave: F0142 Asignaturas antecedentes y subsecuentes

Más detalles