3. CPLD S Y FPGA S UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA. Instituto de Electrónica y Mecatrónica S I S T E M A S D I G I T A L E S

Tamaño: px
Comenzar la demostración a partir de la página:

Download "3. CPLD S Y FPGA S UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA. Instituto de Electrónica y Mecatrónica S I S T E M A S D I G I T A L E S"

Transcripción

1 UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Instituto de Electrónica y Mecatrónica 3. CPLD S Y FPGA S S I S T E M A S D I G I T A L E S 1 M. C. Felipe Santiago Espinosa Octubre / 2017

2 INTRODUCCIÓN Los CPLDs y FPGAs son útiles para una gama amplia de aplicaciones, desde la implementación de pegamento lógico hasta el desarrollo de sistemas completos, en donde el volumen de producción no justifica el costo de un ASIC. Un uso común es la conversión de diseños consistentes en múltiples SPLDs en un número menor de CPLDs o en un FPGA. Con los CPLDs es posible realizar diseños relativamente complejos, como controladores de gráficos, UARTs, controladores de LAN, control de caché, entre otros. La ventaja para el fabricante es que puede manufacturar un número elevado de tarjetas, con la confianza de que podrá actualizar el firmware si llega a haber cambios en algún protocolo. 2

3 INTRODUCCIÓN Las aplicaciones de los FPGAs van más allá de la simple implementación de lógica digital. Los FPGAs son empleados en el desarrollo de controladores de dispositivos y en sistemas de codificación y filtrado en el área de comunicaciones. Además, los fabricantes de procesadores en algún punto del ciclo de diseño utilizan FPGAs para evaluar sus prototipos. Con ello, pueden probar de manera real la ejecución de instrucciones antes de fabricar el diseño final en un ASIC. Los FPGAs pueden ser utilizados para la implementación de arquitecturas específicas para acelerar algún algoritmo. Los algoritmos de bajo nivel en visión por computadora y análisis de imágenes son candidatos ideales para explorar arquitecturas específicas que aceleren su rendimiento. 3

4 ARQUITECTURA DE LAS CELDAS LÓGICAS Tanto CPLD s como FPGA s basan su organización en arreglos o matrices de celdas lógicas. En las celdas se implementa la lógica combinacional o secuencial. Una celda lógica puede ser tan simple como un transistor o tan compleja como un microprocesador. Los CPLD s y FPGA s comerciales utilizan celdas lógicas que están basadas en uno o mas de los siguientes elementos: Un par de Transistores. Arreglos de compuertas básicas, como NANDs y XORs de dos entradas. Multiplexores. Tablas de búsqueda (LUT s, Look-up tables). Estructuras AND-OR con muchas entradas. 4

5 GRANULARIDAD DE UNA CELDA LÓGICA Una celda lógica puede tener: Granularidad fina: La celda contiene pocos transistores y puede implementar solamente operaciones lógicas simples. Granularidad gruesa: La celda contiene un número grande de transistores, suficiente para implementar funciones lógicas grandes. 5

6 PAR DE TRANSISTORES Los FPGAs de Crosspoint Solutions utilizan un par de transistores como una celda lógica. Dado que los transistores están conectados en renglones, las compuertas se pueden aislar apagando los transistores que las limitan. 6

7 PAR DE TRANSISTORES 7

8 LA CELDA PLESSEY Plessey ofrece un FPGA cuya celda básica consiste de una compuerta NAND de dos entradas Si el latch no es necesario, la configuración lo deja transparente. 8

9 LA CELDA PLESSEY Por ejemplo, la función f = ab + c se puede implementar con dos compuertas NAND. A B C U1 AND_2 U3 NOT U2 OR_2 f A B C U4 NAND_2 U5 NAND_2 f 9

10 OTRAS CELDAS DE GRANO FINO Algotronix utiliza una celda en donde se puede realizar cualquier función de dos entradas, con un bloque basado en multiplexores configurables, Concurrent Logic utiliza una celda que contiene una compuerta AND de dos entradas y una compuerta XOR de dos entradas. Toshiba ofrece un FPGA donde la celda se basa en compuertas NAND de dos entradas. 10

11 CELDAS DE GRANO FINO La principal ventaja en el uso de celdas de grano fino es que éstas son completamente utilizadas. Es más fácil usar celdas lógicas pequeñas eficientemente. La principal desventaja es que los FPGAs requieren un número relativamente grande de segmentos de alambre e interruptores programables. Tales recursos de ruteo pueden resultar costosos en área y en el tiempo de respuesta del dispositivo. 11

12 CELDAS BASADAS EN MUX La familia Act-1 de Actel usa una celda de 8 entradas y una salida la cual contiene tres multiplexores de 2 a 1 y una compuerta OR. En la celda se pueden implementar: Todas las funciones combinacionales de dos entradas Todas las funciones de tres entradas con,una entrada positiva muchas funciones de cuatro entradas algunas de 5 a 8 entradas. 12

13 CELDAS BASADAS EN MUX En total se pueden implementar 702 funciones diferentes en la celda Act-1. La función de la celda es: f = (s 3 + s 4 ) (s 1 w + s 1 x) + (s 3 + s 4 )(s 2 y + s 2 z) 13

14 CELDAS BASADAS EN MUX Por ejemplo, la función f = ab + c se puede implementar como sigue: 14

15 CELDAS BASADAS EN MUX f = (s 3 + s 4 ) (s 1 w + s 1 x) + (s 3 + s 4 )(s 2 y + s 2 z) = (c + 0) ( ) + (c + 0)(b. 0 + b. a) = c (1 + 0) + c(0 + ba) = c + cab = c + (c + c) ab = c + 1(ab) = ab + c 15

16 CELDAS BASADAS EN MUX La celda lógica de los FPGAs de Quick Logic es similar a la de Actel en que usa tres multiplexores de 2 a 1, pero organizados como un mux de 4 a 1. 16

17 CELDAS BASADAS EN TABLAS Las celdas de Xilinx están basadas en el uso de SRAM como tablas de búsqueda (LUT, look-up table). La tabla de verdad de una función lógica de K-entradas está almacenada en una SRAM de 2 K x 1. Las líneas de dirección funcionan como entradas y el dato almacenado en la SRAM proporciona el valor de la función. 17

18 XC2000 CLB 18

19 XC3000 CLB 19

20 XC4000 CLB 20

21 ALTERA FLEX 10KE 21

22 GRANULARIDAD Y DENSIDAD La función f = abd + bcd + a b c se puede implementar con LUTs de diferentes dimensiones, como sigue: 2-LUT 3-LUT 4-LUT 22

23 GRANULARIDAD Y BITS DE CONFIGURACIÓN Dado que cada K-LUT requiere de 2 K bits de configuración, La implementación en 2-LUT requiere 2 2 x 7 = 28 bits. En 3-LUT se necesitan 2 3 x 3 = 24 bits. En 4-LUT se requieren sólo 2 4 x 1 = 16 bits. Considerando los bits de configuración como una medida de área (costo en área), la implementación en 4-LUT ocupa un área lógica mínima. 23

24 GRANULARIDAD Y NÚMERO DE BLOQUES LÓGICOS 24

25 GRANULARIDAD Y RETARDOS DE PROPAGACIÓN La función f = abd + abc + acd se puede implementar usando únicamente compuertas NAND de dos entradas, como sigue: La ruta más larga requiere 4 niveles lógicos. Asumiendo un proceso CMOS a 1.2, una NAND de 2-entradas tiene un retardo de 0.7ns. La ruta crítica tiene un retardo de 4 x 0.7 = 2.8ns 25

26 GRANULARIDAD Y RETARDOS DE PROPAGACIÓN La misma función f = abd + abc + acd también se puede implementar usando 3-LUTs como sigue: La ruta más larga requiere 2 niveles lógicos. Asumiendo un proceso CMOS a 1.2, una 3-LUT tiene un retardo de 1.4ns. La ruta crítica tiene un retardo de 2 x 1.4 = 2.8ns 26

27 NIVELES LÓGICOS Y RETARDOS DE PROPAGACIÓN 27

28 LÓGICA ALEATORIA EN FPGAS Se considera el mapeo de un circuito cualquiera en LUT s de 3 entradas. LUT 1 LUT 2 LUT 4 LUT 3 28

29 LÓGICA ALEATORIA EN FPGAS Y en LUT s de 5 entradas. LUT 1 LUT 2 Al incrementar el tamaño de las LUTs (incrementando el número de entradas), el número de LUTs se reduce. Las LUTs son muy convenientes para la realización de lógica aleatoria. 29

30 OPERACIONES ARITMÉTICAS EN FPGAS Se considera el mapeo de un sumador completo de 2 bits en LUTs de 3 entradas. Son necesarias 4 LUTs. Con LUTs de más entradas no se reducirá el número de LUTs requeridas para el sumador. Sin un cambio en el número de salidas, se desperdiciarían recursos al emplear LUTs con más entradas. LUT 4 LUT 3 LUT 2 LUT 1 30

31 ARQUITECTURAS DE RUTEO Los recursos de ruteo conectan a las celdas entre sí o con los bloques de entrada y salida. 31

32 CRITERIOS DE RUTEO Para establecer los recursos de ruteo de un CPLD o FPGA se deben tener en consideración dos aspectos importantes: Enrutamiento: La capacidad del dispositivo para acomodar todas las conexiones requeridas por una aplicación, considerando el hecho de que los segmentos de alambre son colocados durante la fabricación del circuito. Velocidad: Se debe minimizar el retardo de propagación debido a las conexiones programables entre segmentos de alambre. Este es un factor interno del rendimiento del CPLD o FPGA. 32

33 ARQUITECTURA GENERAL DE RUTEO Un segmento de alambre (wire segment) típicamente va a contar con un interruptor programable en cada uno de sus extremos. Una pista (track) es una secuencia de uno o más segmentos de alambre en una línea. Un canal de ruteo es un grupo de pistas paralelas. 33

34 Un bloque de conexión (connection block) proporciona conectividad de las entradas y salidas de un bloque lógico a los segmentos de alambre en los canales. Un bloque de interruptores (switch block) proporciona conectividad entre los segmentos de alambre horizontales y verticales, por sus cuatro lados. 34

35 ARQUITECTURA DE RUTEO EN EL XC

36 BLOQUE DE INTERRUPTORES EN EL XC

37 ARQUITECTURA DE RUTEO EN EL XC3000 Un bloque de interruptores enlaza cada salida del CLB a dos o tres de las cinco pistas que pasan frente a él. Por los cuatro lados del CLB hay bloques de interruptores que conectan los 11 pines del bloque con los segmentos de alambre. Las conexiones se realizan con transistores de paso para las salidas (dado que solamente son dos) y multiplexores para las entradas (son 9). El uso de MUXs reduce el número de celdas SRAM necesarias por pin. En un bloque de interruptores, cada segmento de alambre puede conectar a cinco o seis salidas de las 15 posibles, en los lados opuestos del bloque. 37

38 ARQUITECTURA DE RUTEO EN EL XC3000 Hay cuatro tipos de segmentos de alambres: Interconexiones de propósito general: Segmentos de alambre que se conectan por medio de los bloques de interruptores. Interconexión directa: Segmentos de alambre que conectan cada salida de un bloque lógico directamente con los bloques vecinos. Líneas largas: Líneas que se expanden a lo largo y ancho del chip, proporcionando conexiones con retardo uniforme. Línea de reloj: Una línea que se expande en el chip completo. 38

39 ARQUITECTURA DE RUTEO EN EL XC

40 ARQUITECTURA DE RUTEO EN EL XC

41 ARQUITECTURA DE RUTEO EN EL XC

42 ARQUITECTURA DE RUTEO EN EL XC

43 ARQUITECTURA DE RUTEO EN EL XC

44 ARQUITECTURA DE RUTEO EN EL XC

45 ARQUITECTURA DE RUTEO EN EL XC

46 ARQUITECTURA DE RUTEO EN EL XC

47 ARQUITECTURA DE RUTEO EN EL XC

48 ARQUITECTURA DE RUTEO ACT 48

49 ARQUITECTURA DE RUTEO ACT La arquitectura de ruteo en general es asimétrica, dado que hay más pistas horizontales que verticales. Cada pin de entrada de un bloque lógico se puede conectar con todas las pistas del canal que están en el mismo lado del pin. Un pin de salida se extiende a través de dos canales, por encima y debajo de su bloque lógico. Éste se puede conectar a cada pista, en los cuatro canales que cruza. Todas las pistas verticales pueden hacer una conexión con cada pista horizontal incidente. 49

50 ARQUITECTURA DE RUTEO ACT Cada pista horizontal es particionada en segmentos de diferentes longitudes. La longitud es variable, desde dos bloques lógicos hasta la longitud completa del dispositivo. Esta amplia distribución en la longitud de los segmentos ayuda a encontrar una conexión exacta o muy cercana entre bloques lógicos, reduciendo el uso de interruptores programables en serie, para las conexiones internas. Además de segmentos verticales de entrada y salida, hay autopistas (freeways) verticales que viajan por el chip completo. Hay una autopista por bloque lógico. 50

51 ARQUITECTURA DE RUTEO MAX 51

52 ARQUITECTURA DE RUTEO MAX Tiene dos niveles jerárquicos: Ruteo local y ruteo global. Ruteo local: Un conjunto de 16 ó 32 bloques lógicos son agrupados en un Arreglo de Bloques Lógicos (LAB, Logic Array Block). Cada bloque en el LAB puede ser conectado a cualquier pista vertical en el bus de interconexión local. El bus de interconexión local es un canal vertical que contiene: Conexiones de las salidas de los bloques lógicos en el LAB. Conexiones para la expansión de lógica. Conexiones de las salidas de los bloques lógicos de otros LABs, a través de la interconexión global. Conexiones de los bloques I/O del chip. Cualquier pin de un bloque lógico se puede conectar a cualquier pista de interconexión local. 52

53 ARQUITECTURA DE RUTEO MAX Ruteo global: Existe una estructura llamada Arreglo de Interconexiones Programable (PIA, Programmable Interconnect Array). Este arreglo conecta las salidas de cada LAB a las entradas de otros LABs, actuando como un bloque grande de interruptores. Su estructura interna es similar a la del bus de interconexión local, pero con un número grande de pistas conectadas a las salidas de los bloques lógicos (180 en el EPM 5128). Hay una conectividad completa entre las salidas y las entradas de los LAB dentro del PIA. El retardo a través del PIA es idéntico sin importar cual pista es usada, dado que todas las pistas tienen la misma carga. 53

54 CONSIDERACIONES EN LAS ARQUITECTURAS DE RUTEO El uso de un número grande de interruptores programables facilita el alcance de un ruteo completo, pero estos interruptores consumen área, y por lo tanto, es deseable minimizar su número. Fc = Flexibilidad en el Bloque de Conexiones. Número de pistas en el canal adyacente al cual cada pin del bloque lógico puede ser conectado. Fs = Flexibilidad en el Bloque de Interruptores. Número de pistas a los cuales cada pista entrante al bloque puede ser conectado. 54

55 CONSIDERACIONES EN LAS ARQUITECTURAS DE RUTEO 55

56 CONSIDERACIONES EN LAS ARQUITECTURAS DE RUTEO Los bloques de conexión requieren flexibilidad, Fc debe ser mayor que cinco para que el ruteo del 100 % del dispositivo sea posible. Los bloques de interruptores requieren poca flexibilidad para alcanzar el 100 % del ruteo completo. Con Fs = 3 fácilmente se podrá completar el ruteo. 56

57 TOP-5 FPGA COMPANIES Fabricantes de FPGA s Xilinx Altera Lattice Semi Microsemi QuickLogic By Jeff Johnson, FPGA Developer

58 XILINX Market share: 49% The leader in FPGAs for many years, Xilinx has a good range of FPGAs in terms of cost and performance. 58

59 ALTERA Market share: 40% The Altera FPGAs cover the low, mid and upper end markets with the Cyclone, Arria and Stratix series respectively. 59

60 LATTICE SEMICONDUCTOR Market share: 6% Lattice Semiconductor tackles the low-power and low-cost market for FPGAs. They market their products as high-value FPGAs of the industry, providing best performance per cost. With the explosion in portable electronics, this has been a good strategy for Lattice. 60

61 MICROSEMI Market share: 4% Microsemi specializes in lowpower and mixed-signal FPGAs. Here are some of Microsemi s claims: 1. The industry s lowest power FPGA: the IGLOO. 2. The industry s only FPGA with hard 32-bit ARM Cortex-M3 microcontroller: the SmartFusion. 61

62 QUICKLOGIC Market share: 1% QuickLogic s focus is on the mobile devices industry meaning ultra-low power, small form factor packaging, and high design security. Rather than selling FPGA, they pitch customizable semi-conductors. 62

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE.

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. Iñigo Aguirre; Jose Angel Ezquerra. Universidad del País Vasco. Euskal Herriko Unibertsitatea. jtpagpoi@sc.ehu.es. RESUMEN Este trabajo tiene por

Más detalles

Alternativas de implementación: Estilos

Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos µprocesador INTEL 386: 3 estilos de layout Datapath: ALU 2-D arrays: Memoria Standard

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

MEMORIAS Y LÓGICA PROGRAMABLE

MEMORIAS Y LÓGICA PROGRAMABLE MEMORIAS Y LÓGICA PROGRAMABLE CONCEPTOS BÁSICOS LDD 2007-08 1 Memorias Almacenan gran cantidad de información (datos). Esquema lógico básico: DIR p MEM p 2 * n n DAT DAT n Señales de control LDD 2007-08

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2008 Memorias Clasificación según acceso: Aleatorio Volátiles No

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción SISTEMAS ELECTRÓNICOS DIGITALES OQUE 2 CIRCUITOS DIGITALES CONFIGURAES (PARTE 3: Tema 1) CONJUNTO CONFIGURAE DE PUERTAS Clasificación y descripción Enrique Mandado Pérez María José Moure Rodríguez Circuito

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Arquitectura de FPGAs

Arquitectura de FPGAs Arquitectura de FPGAs Diseño Lógico 2-2015 Instituto de Ingeniería Eléctrica Facultad de Ingeniería Universidad de la República PLD / FPGA - Mid 80's: 8 a 16 funciones lógicas - En el lab: 15000 funciones

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

Lógica Programable - Dispositivos - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable - Dispositivos - Introducción n a los Sistemas Lógicos y Digitales 2008 - Dispositivos - Introducción n a los Sistemas Lógicos y Digitales 2008 CPLD (Complex Programmable Logic Device) Aclaración: Fabricantes como Altera llaman CPLD a ciertos modelos de arreglos de compuertas

Más detalles

1.1. Tecnologías de diseño de circuitos integrados

1.1. Tecnologías de diseño de circuitos integrados 1.1. Tecnologías de diseño de circuitos integrados Durante la década de los 80, varias compañías intentaron resolver el viejo compromiso de complejidad versus estandarización. Por un lado se tenía la opción

Más detalles

TECNOLOGIA. R = (Vcc Vd) / I (Vd: caida en el LED) INTENSIDAD LUMINICA: SE MIDE EN CANDELA (Cd)

TECNOLOGIA. R = (Vcc Vd) / I (Vd: caida en el LED) INTENSIDAD LUMINICA: SE MIDE EN CANDELA (Cd) TECNOLOGIA LED R = (Vcc Vd) / I (Vd: caida en el LED) INTENSIDAD LUMINICA: SE MIDE EN CANDELA (Cd) LED TIPICO: If = 10mA ---------> 1mCd Vcc Vd LEDs Es un dispositivo semiconductor (diodo) que emite luz

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

Dispositivos de lógica programable

Dispositivos de lógica programable Dispositivos de lógica programable SISTEMAS ELECTRÓNICOS DIGITALES 2 o Curso Ingeniería Técnica Industrial Especialidad en Electrónica Industrial Dr. José Luis Rosselló Índice Conceptos generales Dispositivos

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs)

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Objetivos: 1.- Conocer la función, características básicas y tipos de memorias. 2.- Conocer la función, características principales y aplicaciones

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II TIPOS DE MEMORIAS MEMORIA DDR MEMORIA DDR2 MEMORIA DDR3 COMPARACIÓN TIEMPOS DE ACCESO TIPOS DE LATENCIAS RAS CAS ACTIVIDAD PRECARGA TIPOS DE CONFIGURACIONES SINGLE CHANNEL DUAL CHANNEL

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

Diseño de Sistemas Digitales Utilizando FPGA

Diseño de Sistemas Digitales Utilizando FPGA Diseño de Sistemas Digitales Utilizando FPGA M. en C. Amadeo José Argüelles Cruz Profesor del CIC-IPN Ing. José Angel Ascencio Roman, Ing. José Felipe Villalobos Baigorría CIC-IPN E n la década de los

Más detalles

Programa ALTERA destinado a Universidades

Programa ALTERA destinado a Universidades Programa ALTERA destinado a Universidades Software MAX+PLUS II- Quartus versión estudiantil UP 2 Education Board Dispositivo EPM7128S de 84 pines y encapsulado del tipo plastic J-lead chip carrier (PLCC)

Más detalles

CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS

CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS MAPA CONCEPTUAL DE LOS CIRCUITOS INTEGRADOS Circuitos Integrados Digitales Monolíticos (CIDM) Según la realización física Según la forma en que se realiza el

Más detalles

INDICE Capítulo 1. Introducción Capítulo 2. Circuitos lógicos básicos Capítulo 3. Sistemas numéricos Capítulo 4. Codificación

INDICE Capítulo 1. Introducción Capítulo 2. Circuitos lógicos básicos Capítulo 3. Sistemas numéricos Capítulo 4. Codificación INDICE Capítulo 1. Introducción 1.1. Cantidades analógicas y digitales 1.2. Sistemas electrónico digitales 16 1.3. Circuitos integrados 17 1.4. Disipación de potencia y velocidad de operación 1.5. Aplicación

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

Sistemas Combinacionales

Sistemas Combinacionales Sistemas Combinacionales Tipos de Sistemas Digitales Puertas Lógicas Bloques Combinacionales Multiplexores Decodificadores/demultiplexores Decodificadores BCD a 7 segmentos Codificadores Comparadores Sumadores

Más detalles

Carrera: MTF Participantes Representante de las academias de ingeniería Mecatrónica de los Institutos Tecnológicos.

Carrera: MTF Participantes Representante de las academias de ingeniería Mecatrónica de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Microcontroladores MTF-0532 2-4-8 2.- HISTORIA DEL PROGRAMA Lugar y fecha de elaboración

Más detalles

Sistemas Embebidos 1º Cuatrimestre de 2015

Sistemas Embebidos 1º Cuatrimestre de 2015 Sistemas Embebidos 1º Cuatrimestre de 2015 Clase 11: Contenido Introducción : concepto y tecnologías Categorías de SPLDs CPLDs FPGAs Procesadores Soft-Core Prof: Sebastián Escarza Dpto. de Ciencias e Ingeniería

Más detalles

INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS

INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS Luis Entrena Arrontes Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso 1 Índice 1.1 Los circuitos integrados. Ventajas e inconvenientes

Más detalles

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones:

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: D Salidas activas en bajo para manejar display ánodo común E Entradas (4 bits) activas en bajo (o con lógica

Más detalles

Tema 7 - Registros, contadores y memorias

Tema 7 - Registros, contadores y memorias -, contadores y memorias Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Síntesis arquitectónica y de alto nivel

Síntesis arquitectónica y de alto nivel Síntesis arquitectónica y de alto nivel Módulo 1. Concepto y fases de la Síntesis de Alto Nivel 1 Diseño de circuitos: la complejidad Tratamiento de problemas de complejidad creciente Rápido desarrollo

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

Bloques Aritméticos - Multiplicadores

Bloques Aritméticos - Multiplicadores Bloques Aritméticos - Multiplicadores La multiplicación es una operación cara (en términos de recursos) y lenta Este hecho ha motivado la integración de unidades completas de multiplicación en los DSPs

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Capítulo 2 Dispositivos Lógicos Programables En este capítulo se abordan los distintos tipos de Dispositivos Lógicos Programables, incluyendo su definición, su clasificación y una breve explicación de

Más detalles

Electrónica Digital. Configuración del colector abierto. Electrónica Digital II Circuitos TTL Salidas de Colector Abierto Salidas de Drenador Abierto

Electrónica Digital. Configuración del colector abierto. Electrónica Digital II Circuitos TTL Salidas de Colector Abierto Salidas de Drenador Abierto Electrónica Digital II Circuitos TTL Salidas de Colector Abierto Salidas de Drenador Abierto Salida de colector abierto (Familia TTL) La compuerta de colector abierto se usan en tres aplicaciones principales:

Más detalles

INDICE. XVII 0 Introducción 0.1. Historia de la computación

INDICE. XVII 0 Introducción 0.1. Historia de la computación INDICE Prefacio XVII 0 Introducción 0.1. Historia de la computación 1 0.1.1. Los inicios: computadoras mecánicas 0.1.2. Primeras computadoras electrónicas 0.1.3. Las primeras cuatro generaciones de computadoras

Más detalles

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos INDICE Prólogo XI 1. Operación del Computador 1 1.1. Calculadoras y Computadores 2 1.2. Computadores digitales electrónicos 5 1.3. Aplicación de los computadores a la solución de problemas 7 1.4. Aplicaciones

Más detalles

Tabla de contenidos. 1 Lógica directa

Tabla de contenidos. 1 Lógica directa Tabla de contenidos 1 Lógica directa o 1.1 Puerta SI (YES) o 1.2 Puerta Y (AND) o 1.3 Puerta O (OR) o 1.4 Puerta OR-exclusiva (XOR) 2 Lógica negada o 2.1 Puerta NO (NOT) o 2.2 Puerta NO-Y (NAND) o 2.3

Más detalles

UNIDAD 5 Arquitectura FPGA

UNIDAD 5 Arquitectura FPGA 22 UNIDAD 5 Arquitectura FPGA 5.1 Fundamentos Teóricos. Un FPGA (Field Programmable Gate Array - Arreglo de Compuertas Programable en Campo) es, al igual que un PLD y un CPLD, un ASIC programable, pero

Más detalles

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR Cód. 25243 Laboratorio electrónico Nº 5 PUERTAS LOGICAS Objetivo Aplicar los conocimientos de puertas lógicas Familiarizarse con los circuitos integrados Objetivo específico Conectar los circuitos integrados

Más detalles

Dirección General de Educación Superior Tecnológica INSTITUTO TECNOLÓGICO DE SALINA CRUZ

Dirección General de Educación Superior Tecnológica INSTITUTO TECNOLÓGICO DE SALINA CRUZ Dirección General de Educación Superior Tecnológica INSTITUTO TECNOLÓGICO DE SALINA CRUZ UNIDAD 4: Capa de enlace de datos y capa física ACTIVIDAD: reporte del capítulo 10 MATERIA: fundamentos de redes

Más detalles

III Unidad Planificación y diseño de una red (LAN)

III Unidad Planificación y diseño de una red (LAN) Recordemos: Una red de área local, Es un conjunto de Equipos y dispositivos de hardware unidos entre sí con el fin de compartir recursos en una zona geográfica limitada. Planificación y diseño de la red.

Más detalles

MINISTERIO DE EDUCACIÓN PÚBLICA IPEC DE SANTA BÁRBARA MANTENIMIENTO DE EQUIPO DE COMPUTACIÓN. Memoria Principal RAM Memoria de acceso aleatorio

MINISTERIO DE EDUCACIÓN PÚBLICA IPEC DE SANTA BÁRBARA MANTENIMIENTO DE EQUIPO DE COMPUTACIÓN. Memoria Principal RAM Memoria de acceso aleatorio MINISTERIO DE EDUCACIÓN PÚBLICA IPEC DE SANTA BÁRBARA MANTENIMIENTO DE EQUIPO DE COMPUTACIÓN Memoria Principal RAM Memoria de acceso aleatorio MEMORIA RAM Memoria de acceso aleatorio, es la memoria primaria

Más detalles

Diseño de circuitos combinacionales

Diseño de circuitos combinacionales Diseño de circuitos combinacionales Mario Medina C. mariomedina@udec.cl Diseño de circuitos combinacionales Métodos de minimización vistos permiten obtener funciones de dos niveles Tópicos en diseño de

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

Aplicaciones con transistor MOSFET

Aplicaciones con transistor MOSFET Aplicaciones con transistor MOSFET Lección 04.2 Ing. Jorge Castro-Godínez EL2207 Elementos Activos Escuela de Ingeniería Electrónica Instituto Tecnológico de Costa Rica I Semestre 2014 Jorge Castro-Godínez

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

COMPONENTES DEL PC LEONARDO OLIVARES VILLA MATEO CARDONA ARENAS

COMPONENTES DEL PC LEONARDO OLIVARES VILLA MATEO CARDONA ARENAS COMPONENTES DEL PC LEONARDO OLIVARES VILLA MATEO CARDONA ARENAS Tipos de procesadores. Dedicados: Para desarrollar una tarea muy especifica. Ejecutando un único algoritmo de forma óptima. de propósito

Más detalles

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI NOMBRE DE LA ASIGNATURA: ARQUITECTURA DE COMPUTADORAS FECHA DE ELABORACIÓN: ENERO 2005 ÁREA DEL PLAN DE ESTUDIOS: AS (

Más detalles

NOT. Ejemplo: Circuito C1

NOT. Ejemplo: Circuito C1 Métodos de diseño de circuitos digitales Sistemas combinacionales En un circuito combinacional los valores de las salidas dependen únicamente de los valores que tienen las entradas en el presente. Se construen

Más detalles

TEMA III: OPERACIONES CON LOS DATOS

TEMA III: OPERACIONES CON LOS DATOS CUESTIONES A TRATAR: Cual es la función de la unidad operativa? Es necesaria? Qué tipos de circuitos implementan la unidad operativa? Unidad operativa frente a ALU Qué es una operación de múltiple precisión?

Más detalles

Compuertas Lógicas. Apunte N 2

Compuertas Lógicas. Apunte N 2 Compuertas Lógicas Apunte N 2 C o m p u e r t a s Lógicas Las compuertas lógicas son dispositivos que operan con estados lógicos y funcionan igual que una calculadora, de un lado ingresan los datos, ésta

Más detalles

TEMA I Introducción a los Sistemas de Procesamiento Digital de Señales

TEMA I Introducción a los Sistemas de Procesamiento Digital de Señales TEMA I Introducción a los Sistemas de Procesamiento Digital de Señales 22/05/02 EL-523063 Sistemas de Procesamiento Digital de Señales Luis Tarazona 11 Qué es PDS? Procesamiento: Ejecución de operaciones

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo.

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo. 1 Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. El texto está orientado a un primer curso de diseño lógico en programas de estudios de ingenieros eléctricos, electrónicos, telemáticos y de ciencias

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

FUNCIONAMIENTO DEL ORDENADOR

FUNCIONAMIENTO DEL ORDENADOR FUNCIONAMIENTO DEL ORDENADOR COMPUTACIÓN E INFORMÁTICA Datos de entrada Dispositivos de Entrada ORDENADOR PROGRAMA Datos de salida Dispositivos de Salida LOS ORDENADORES FUNCIONAN CON PROGRAMAS Los ordenadores

Más detalles

Organización de Computadoras. Turno Recursantes Clase 8

Organización de Computadoras. Turno Recursantes Clase 8 Organización de Computadoras Turno Recursantes Clase 8 Temas de Clase Subsistema de Memoria Organización de Memoria Principal Notas de clase 8 2 Memoria Velocidad del procesador: se duplica cada 18 meses

Más detalles

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales EL-611 Complemento de Diseño Lógico y Objetivos y Evaluación Segundo Curso de Sistemas Digitales Complementar Materia Enfoque Diseños de Mayor Envergadura 1 Control + Examen y 6 Ejercicios (aprox.) Tareas

Más detalles

Memoria Cache. Departamento de Arquitectura de Computadores

Memoria Cache. Departamento de Arquitectura de Computadores Memoria Cache Departamento de Arquitectura de Computadores Índice Introducción. Conceptos básicos Características de los sistemas de memoria Jerarquías de memoria Memoria Principal Características físicas

Más detalles

MEMORIA EJERCICIO 1 EJERCICIO 2

MEMORIA EJERCICIO 1 EJERCICIO 2 MEMORIA EJERCICIO 1 Determinar el mapa de memoria de un procesador con 16 señales de bus de direcciones, una señal de asentimiento de bus de direcciones AS, una señal de lectura R, otra de escritura W

Más detalles

Frecuencia Máxima de un Sistema Digital Sincrónico (Básico)

Frecuencia Máxima de un Sistema Digital Sincrónico (Básico) Frecuencia Máxima de un Sistema Digital Sincrónico (Básico) Nota Técnica 8 Cristian Sisterna Introducción Comúnmente se expresa que un sistema puede funcionar satisfactoriamente a 100MHz, o a 133MHz o

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

Registros. Registro de Corrimiento Básico

Registros. Registro de Corrimiento Básico Registros. Son dispositivos digitales donde se obtiene almacenamiento temporal. Dado que la memoria y el desplazamiento de información son sus características básicas, los registros son circuitos secuenciales

Más detalles

UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores.

UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores. UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores. José Gorjón Índice Objetivos. Introducción. Análisis de registros de almacenamiento. Análisis de registros de desplazamiento.

Más detalles

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos Fundamentos de Computadores Tema 5 Circuitos Aritméticos OBJETIVOS Conceptuales: Suma y resta binaria Implementaciones hardware/software Circuito sumador y semi-sumador básico Sumadores/restadores de n

Más detalles

Sistemas Combinacionales y Sistemas Secuenciales

Sistemas Combinacionales y Sistemas Secuenciales y Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 y 1 2 3 y Contenido Al hablar de sistemas, nos referimos

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Álgebra de Boole. Valparaíso, 1 er Semestre Prof. Rodrigo Araya E.

Álgebra de Boole. Valparaíso, 1 er Semestre Prof. Rodrigo Araya E. Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 1 2 3 4 Contenido En 1815 George Boole propuso una herramienta

Más detalles

Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación

Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación ESTILOS DE IMPLEMENTACIÓN & METODOLOGIAS DE DISEÑO SEMICUSTOM. Diseño basado en Arrays Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación CONTRAPARTIDA

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos INDICE Parte I. La computadora digital: organización, operaciones, periféricos, lenguajes y sistemas operativos 1 Capitulo 1. La computadora digital 1.1. Introducción 3 1.2. Aplicaciones de las computadoras

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

MÓDULO Nº8 MEMORIAS SEMICONDUCTORAS

MÓDULO Nº8 MEMORIAS SEMICONDUCTORAS MÓDULO Nº MEMORIAS SEMICONDUCTORAS UNIDAD: LÓGICA PROGRAMABLE TEMAS: Concepto de Memoria. Memorias RAM. Memorias ROM. OBJETIVOS: Entender la diferencia entre lógica cableada y lógica programable. Explicar

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Profesor: Carlos Herrera C. I. Unidad COMPUERTAS LOGICAS Las compuertas lógicas son dispositivos que operan con aquellos estados lógicos Binarios y que funcionan igual que

Más detalles

TEMA 1: Concepto de ordenador

TEMA 1: Concepto de ordenador TEMA 1: Concepto de ordenador 1.1 Introducción Los ordenadores necesitan para su funcionamiento programas. Sin un programa un ordenador es completamente inútil. Para escribir estos programas necesitamos

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción INDICE Prologo XIII Introducción XV 1. Introducción a la técnica digital 1.1. Introducción 1 1.2. Señales analógicas y digitales 1.2.1. Señales analógicas 1.2.2. Señales digitales 2 1.3. Procesos digitales

Más detalles

Contador de pulsos para Servomecanismos

Contador de pulsos para Servomecanismos Contador de pulsos para Servomecanismos René de J. Romero Troncoso 1, Juan Arturo Orozco Cardiel 2 Facultad de Ingeniería Mecánica, Eléctrica y Electrónica. Universidad de Guanajuato. Av. Tampico 912,

Más detalles

Estructura de un Ordenador

Estructura de un Ordenador Estructura de un Ordenador 1. Unidad Central de Proceso (CPU) 2. Memoria Principal 3. El Bus: La comunicación entre las distintas unidades 4. La unión de todos los elementos: la placa Base Estructura de

Más detalles

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI Guía de preparación para el examen ELECTRONICA CxTx En esta materia básicamente se evalúan temas tales como son: MULTIVIBRADORES, MEMORIAS, CONTADORES Y COMPUERTAS LOGICAS, SUMADOR RESTADOR Y MICROPOCESADORES

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

Introducción a los Dispositivos FPGA. Análisis y ejemplos de diseño

Introducción a los Dispositivos FPGA. Análisis y ejemplos de diseño Facultad de Ingeniería Universidad Nacional de la Plata Departamento de Electrotecnia Cátedra de Trabajo Final Introducción a los Dispositivos FPGA. Análisis y ejemplos de diseño Autor: Bozich, Eduardo

Más detalles