DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

Tamaño: px
Comenzar la demostración a partir de la página:

Download "DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)"

Transcripción

1 DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1

2 Preguntas a responder sobre FPGA Qué innovación introducen? Cuándo son una opción? Cómo es la metodología de trabajo? FPGA - R. Corti 2

3 Electrónica e Informática Desde 1950 la electrónica tuvo avance muy acelerado Dos hechos fundamentales Crecimiento exponencial en los niveles de integración Rápida evolución de las herramientas informáticas de apoyo al diseño (EDA). Nuevos dispositivos y arquitecturas. Nuevas metodologías y herramientas de diseño FPGA - R. Corti 3

4 Dispositivos ASIC Dispositivo dedicado a una única función, o un número limitado de funciones, completamente diseñado por el usuario (Layout). El fabricante ocupa el rol de fundidor de silicio. FPGA - R. Corti 4

5 Dispositivos ASIC: Clasificación. ASIC Parcialmente Dedicados Totalmente Dedicados Lógica Programable Gate Array Standard Cell SPLD CPLD FPGA FPGA - R. Corti 5

6 Dispositivos de lógica programable. Mayor flexibilidad Personalización independiente de la fábrica Que el usuario personalice un dispositivo standard. Entradas Los dispositivos son de complejidad diversa DESVENTAJA Salidas Se desperdician recursos de pastilla FPGA - R. Corti 6

7 FPGA: Cuál es la innovación introducida?? Arquitectura extremadamente flexible de tecnología SRAM Bloques lógicos programables (CLB, LE) Reprogramación simple y rápida en circuito Interconexión programable Bloques I/O programables FPGA - R. Corti 7

8 Programación o configuración en campo del dispositivo µp FPGA Se cambian las instrucciones Se cambian las conexiones y las funciones lógicas Cambia el Software Cambia el Hardware FPGA - R. Corti 8

9 FPGA: Cómo cambiar la lógica? LUT s PLD convencionales FPGA FPGA - R. Corti 9

10 FPGA : Ejemplo de bloque lógico configurable. LUT s Fuente: Hoja de datos de la familia XC4000E Xilinx. FPGA - R. Corti 10

11 FPGA: Ejemplo de conexiones programables Fuente: Hoja de datos de la familia XC4000E Xilinx. FPGA - R. Corti 11

12 FPGA : Ejemplo de bloque de I/O Fuente: Hoja de datos de la familia XC4000E Xilinx. FPGA - R. Corti 12

13 Evolución de las Arquitecturas Virtex II y Virtex II Pro Fuente: Publicaciones de la empresa Xilinx. Spartan 2 y Virtex FPGA - R. Corti 13

14 Arquitectura modular orientada a las aplicaciones: Fuente: Publicaciones de la empresa Xilinx. FPGA - R. Corti 14

15 FPGA: Nuevos encapsulados Permiten una conexión muy versátil de I/O Se disponen de más pines para el usuario Mejora la disipación térmica En nuestro país aún no hay tecnología para montar estos CI. FPGA - R. Corti 15

16 FPGA s basadas en SRAM: Ideas fundamentales 1. Dispositivo de lógica programable estándar muy flexible 2. Personalizable por el usuario con ambientes EDA 3. Arquitectura basada en SRAM programación en campo 4. Volátiles Pierden su configuración al cesar la energía Al iniciar el sistema, se debe restaurar la configuración El diseño es accesible, tiene baja confidencialidad 5. Otras tecnologías de fabricación Otras características FPGA - R. Corti 16

17 Tecnologías de fabricación SRAM ANTIFUSIBLES Flash FPGA - R. Corti 17 EPROM

18 Comparación de las tecnologías de fabricación FPGA - R. Corti 18

19 Qué ASIC utilizar? Cuándo elegir FPGA?? Performance (área, frecuencia de trabajo, consumo) Tiempo de desarrollo Cantidad de dispositivos Funcionalidad madura o cambiante FPGA para lograr un diseño maduro Volcado a una ASIC de tipo Full-Custom FPGA - R. Corti 19

20 ASIC Full Custom versus FPGA FPGA - R. Corti 20

21 FPGA s: Cómo se usan?? Su configuración se define, simula, corrige e implementa en ambientes EDA integrados Introducen la simulación como un elemento fundamental. Diseño Simulación Prototipo Permiten distintas metodologías y flujos de diseño Permiten modularización y reuso a partir de bibliotecas FPGA - R. Corti 21

22 FPGA s: Fases de desarrollo EDA Ingreso del diseño Netlist funcional Simulación funcional Síntesis Netlist + Restricciones Implementación Bitstream Simulación temporal Programación del dispositivo FPGA - R. Corti 22

23 Fases de desarrollo EDA: Procesos FPGA - R. Corti 23

24 Ingreso del diseño Digital II Otras asignaturas Captura de Esquemáticos Lenguajes HDL Editores Gráficos Bibliotecas de bloques Personalización de funcionalidad Complejidad hasta 6000 puertas Descripciones de alto nivel Edición rápida y sencilla Diseños más complejos Las herramientas EDA permiten modularizar el sistema y combinar distintos estilos de descripción. FPGA - R. Corti 24

25 Ingreso del diseño con esquemáticos: FPGA - R. Corti 25

26 Simulación comportamental del diseño Depuración!! FPGA - R. Corti 26

27 Programación en campo del dispositivo El archivo bitstream obtenido permite configurar la FPGA Cómo?? FPGA configurables en campo y volátiles. Es posible bajar la configuración desde una PC Placa académica XSA - 50 A través del puerto paralelo utilizando herramientas de software y recursos de la placa. Existen otras formas de programar una FPGA. FPGA - R. Corti 27

28 Placa XSA-50 - Conexiones externas El diseño reside en la FPGA!! Verificación de su funcionamiento Fuente: XSA- Manual del usuario. FPGA - R. Corti 28

29 Ley de Moore para FPGA A medida que crece el nº de transistores el costo baja. FPGA - R. Corti 29

30 FPGA: Tendencias Fuente: Publicaciones de la empresa Actel. FPGA - R. Corti 30

31 FPGA: Tendencias Fuente: Publicaciones de la empresa Actel. FPGA - R. Corti 31

32 Datos generales sobre FPGA s Algunas aplicaciones Guitarras Gibson sonido digital Vehículos de exploración de Marte (NASA) Módulo de control de Williams F1 Misiles Tomahawk Algunos Fabricantes Costo de los dispositivos Xilinx Altera Atmel Lattice Cypress Actel Quicklogic Desde menos de 10 dólares a varios cientos, dependiendo de las características, aún en la misma familia de dispositivos. FPGA - R. Corti 32

33 Conclusiones HW Reconfigurable Microelectrónica Informática Flexibles y reprogramables en campo. FPGA Personalizables por el usuario con herramientas EDA Bajo tiempo de desarrollo y tolerancia a fallas Ideales para muchas aplicaciones Fundamentales para I+D FPGA - R. Corti 33

34 Bibliografía Fundamentos del diseño de CI digitales M.I.Schiavón UNR Editora Capítulos 1, 2 y 5. Diseño digital J. Wakerly Capítulo 10 (dispositivos programables CPLD y FPGA). Programmable_logic_design_handbook Xilinx Introducción (evolución de los dispositivos) y herramienta Webpack FPGA - R. Corti 34

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

UNIDAD 5 Arquitectura FPGA

UNIDAD 5 Arquitectura FPGA 22 UNIDAD 5 Arquitectura FPGA 5.1 Fundamentos Teóricos. Un FPGA (Field Programmable Gate Array - Arreglo de Compuertas Programable en Campo) es, al igual que un PLD y un CPLD, un ASIC programable, pero

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital MARÍA ISABEL SCHIAVON - 2005 1907 1 950 RESEÑA HISTORICA 60 MSI 70 LSI microprocesador 1958 80 circuitos

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Sistemas Embebidos 1º Cuatrimestre de 2015

Sistemas Embebidos 1º Cuatrimestre de 2015 Sistemas Embebidos 1º Cuatrimestre de 2015 Clase 11: Contenido Introducción : concepto y tecnologías Categorías de SPLDs CPLDs FPGAs Procesadores Soft-Core Prof: Sebastián Escarza Dpto. de Ciencias e Ingeniería

Más detalles

50 s. Transistor. Segunda generación de Computadoras 1952, FET tiristor comercial. 1956, Tiristor 1947, BJT. Nobel Física (1956) Brattain

50 s. Transistor. Segunda generación de Computadoras 1952, FET tiristor comercial. 1956, Tiristor 1947, BJT. Nobel Física (1956) Brattain Fleming (1904) DeForest (1907) 50 s Transistor 1947, BJT Bardeen Brattain Shockley Nobel Física (1956) 1952, FET 1956, Tiristor Segunda generación de Computadoras 1958 tiristor comercial Fairchild SC 1958

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO:

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ELECTRONICA ACADEMIA A LA QUE SISTEMAS DIGITALES AVANZADOS PERTENECE: NOMBRE DE LA MATERIA: DISEÑO DIGITAL ASISTIDO POR COMPUTADORA CLAVE DE LA MATERIA:

Más detalles

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes.

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. 2 Lógica Estándar Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. Microprocesadores / DSP Enfoque distinto para diseño de sistemas

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

Alternativas de implementación: Estilos

Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos µprocesador INTEL 386: 3 estilos de layout Datapath: ALU 2-D arrays: Memoria Standard

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

1.1. Tecnologías de diseño de circuitos integrados

1.1. Tecnologías de diseño de circuitos integrados 1.1. Tecnologías de diseño de circuitos integrados Durante la década de los 80, varias compañías intentaron resolver el viejo compromiso de complejidad versus estandarización. Por un lado se tenía la opción

Más detalles

FPGAs. Susana Borromeo Área de Tecnología Electrónica. Diseño de Sistemas Electrónicos. 2014/2015. Metodología de Diseño. Características generales

FPGAs. Susana Borromeo Área de Tecnología Electrónica. Diseño de Sistemas Electrónicos. 2014/2015. Metodología de Diseño. Características generales FPGAs Susana Borromeo Área de Tecnología Electrónica Esquema Conceptos generales Dispositivos Lógicos Programables FPGAs Metodología de Diseño VHDL Características generales VHDL Comportamental y Estructural

Más detalles

Diseño de DSP en FPGA con System Generator y MATLab. Jesús Barba Romero (30/05/2012)

Diseño de DSP en FPGA con System Generator y MATLab. Jesús Barba Romero (30/05/2012) Diseño de DSP en FPGA con System Generator y MATLab Jesús Barba Romero (30/05/2012) Agenda Introducción a System Generator y MATLab Creación de un sistema DSP con Simulink Creación de un sistema DSP con

Más detalles

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS Introducción a VHDL Sistemas digitales UTM-2006 JJVS Surgimiento de VHDL Necesidad de nuevos métodos ya que los clásicos (esquemáticos), llegan a ser ineficientes en diseños de altas escalas de integración.

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

DISEÑO DIGITAL MODERNO

DISEÑO DIGITAL MODERNO DISEÑO DIGITAL MODERNO MODERN DIGITAL DESIGN Por Jaime Orlando Ruiz Pazos Ingeniero Electrónico, Universidad del Cauca MSc. Sistemas Digitales, Instituto Superior Politécnico José Antonio Echeverría, La

Más detalles

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Lección 1 Aspectos generales sobre diseño microelectrónico 1

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Lección 1 Aspectos generales sobre diseño microelectrónico 1 Introducción TEMA 1 TECNOLOGÍA DE LOS CI 1 ÍNDICE TEMA 1 ASPECTOS GENERALES SOBRE DISEÑO MICROELECTRONICO Evolución del diseño electrónico Proceso de fabricación y métricas de diseño Estrategias de diseño

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

502 A I.S.C. JOSE BARDO MORENO MACHADO ENRIQUE EDUARDO MORAN PRADO EDILBERTO RASCON HERNANDEZ

502 A I.S.C. JOSE BARDO MORENO MACHADO ENRIQUE EDUARDO MORAN PRADO EDILBERTO RASCON HERNANDEZ 502 A I.S.C. JOSE BARDO MORENO MACHADO ENRIQUE EDUARDO MORAN PRADO EDILBERTO RASCON HERNANDEZ Dispositivo basado en circuitos que posibilitan el almacenamiento limitado de información y su posterior recuperación.

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

RAID 0 : No redundante

RAID 0 : No redundante RAID ECP RAID RAID - Redundant Array of Independent Discs, 1987 Combinar varios discos, pequeños y baratos, en un sólo dispositivo lógico de disco y distribuir los datos a través de las unidades físicas

Más detalles

LA GAMA CP1. » Funcionalidad de motion sencilla» Conexión Ethernet. Controladores de máquinas compactas. » Puerto USB de serie

LA GAMA CP1. » Funcionalidad de motion sencilla» Conexión Ethernet. Controladores de máquinas compactas. » Puerto USB de serie LA GAMA CP1 Controladores de máquinas compactas» Puerto USB de serie» Funcionalidad de motion sencilla» Conexión Ethernet La respuesta a sus necesidades, con exactitud En el caso de controladores para

Más detalles

FPGA FIELD PROGRAMMABLE GATE ARRAY

FPGA FIELD PROGRAMMABLE GATE ARRAY FPGA FIELD PROGRAMMABLE GATE ARRAY Arreglo Programables A los circuitos digitales que son programables en hardware usando lenguajes como VHDL se les conoce como dispositivos lógicos programables (PLDs,

Más detalles

ESCUELA SUPERIOR POLITECNICA DEL LITORAL PROGRAMA DE ESTUDIOS. Electrónica TEORICA Profesional 2. OBJETIVOS

ESCUELA SUPERIOR POLITECNICA DEL LITORAL PROGRAMA DE ESTUDIOS. Electrónica TEORICA Profesional 2. OBJETIVOS FIEE LABORATORIO DE SISTEMAS DIGITALES UNIDAD ACADÉMICA: CARRERA: ESPECIALIZACIÓN: ÁREA: TIPO DE MATERIA: EJE DE FORMACION: Facultad de Ingeniería en Electricidad y Computación Ingeniería en Electricidad,

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

Aplicación de la reconfigurabilidad dinámica de la FPGA Virtex de Xilinx *.

Aplicación de la reconfigurabilidad dinámica de la FPGA Virtex de Xilinx *. Aplicación de la reconfigurabilidad dinámica de la FPGA Virtex de Xilinx *. José Luis Camps, Vicente Herrero, Rafael Gadea, Joaquín Cerdà, Marcos Martínez, Ricardo Colom Universidad Politécnica de Valencia.

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

PLATAFORMA DE DISEÑO QUARTUS

PLATAFORMA DE DISEÑO QUARTUS PLATAFORMA DE DISEÑO QUARTUS 199 Pasos para trabajar en la tarjeta intel DE2i-150 FPGA: Lo primero que se debe hacer es prender la tarjeta y esperar a que se cargue el sistema operativo UBUNTU. Ver la

Más detalles

UNIVERSIDAD AUTONOMA DE QUERETARO

UNIVERSIDAD AUTONOMA DE QUERETARO UNIVERSIDAD AUTONOMA DE QUERETARO FACULTAD DE INGENIERIA Traducción de un modelo de control de 38 estados en Redes de Petri a VHDL Tesis Que como parte de los requisitos para obtener el grado de Ingeniero

Más detalles

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti VHDL Very High speed integrated circuit Hardware Description Language Digital II Ingeniería Electrónica Rosa Corti Contenido Dispositivos FPGA Lenguaje VHDL: Evolución y características Unidades de diseño

Más detalles

Tema 11: Instrumentación virtual

Tema 11: Instrumentación virtual Tema 11: Instrumentación virtual Solicitado: Tarea 09: Mapa conceptual: Instrumentación Virtual M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom

Más detalles

FPGAs 1.0 Jordi Albó Canals : jalbo@salle.url.edu EALS Dpt. Electrònica

FPGAs 1.0 Jordi Albó Canals : jalbo@salle.url.edu EALS Dpt. Electrònica FPGAs 1.0 Jordi Albó Canals : jalbo@salle.url.edu EALS Dpt. Electrònica OBJETIVOS - Empezar la línea de trabajo - Conocer el estado del arte sobre FPGAs - Conseguir una documentación correcta para futuros

Más detalles

TEMA 14. Modelos de representación de diagramas

TEMA 14. Modelos de representación de diagramas TEMA 14. Modelos de representación de diagramas Un diagrama es un dibujo en el que se muestran las relaciones entre las diferentes partes que componen un conjunto o sistema. También se puede entender como

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

Capítulo 5. Cliente-Servidor.

Capítulo 5. Cliente-Servidor. Capítulo 5. Cliente-Servidor. 5.1 Introducción En este capítulo hablaremos acerca de la arquitectura Cliente-Servidor, ya que para nuestra aplicación utilizamos ésta arquitectura al convertir en un servidor

Más detalles

Entorno de codiseño y cosimulación hardware-software basado en FPGA para la plataforma Arduino

Entorno de codiseño y cosimulación hardware-software basado en FPGA para la plataforma Arduino Entorno de codiseño y cosimulación hardware-software basado en FPGA para la plataforma Arduino J. M. Alcérreca, J. Castillo, J. I. Martínez josemaria@alcerreca.com, {javier.castillo, joseignacio.martinez}@urjc.es

Más detalles

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Iván González, Juan González, Francisco Gómez-Arribas Escuela Politécnica Superior Universidad Autónoma de Madrid

Más detalles

INGENIERÍA AMBIENTAL Tema 3. Parte V SCADA (Supervisory Control and Data Acquisition) Alfredo Rosado Máster Universitario

INGENIERÍA AMBIENTAL Tema 3. Parte V SCADA (Supervisory Control and Data Acquisition) Alfredo Rosado Máster Universitario INGENIERÍA AMBIENTAL Tema 3. Parte V SCADA (Supervisory Control and Data Acquisition) Alfredo Rosado Máster Universitario INDICE Control Mediante PC. Introducción SCADA. Funciones y Prestaciones. Módulos.

Más detalles

ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES Y DE TELECOMUNICACIÓN

ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES Y DE TELECOMUNICACIÓN ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES Y DE TELECOMUNICACIÓN Titulación : INGENIERO TÉCNICO DE TELECOMUNICACIÓN, ESPECIALIDAD EN SONIDO E IMAGEN Título del proyecto: ENTORNO EDUCATIVO PARA

Más detalles

INSTITUTO TECNOLÓGICO DE TEHUACÁN. Departamento de Ingeniería Eléctrica-Electrónica. Reporte de Residencia Profesional

INSTITUTO TECNOLÓGICO DE TEHUACÁN. Departamento de Ingeniería Eléctrica-Electrónica. Reporte de Residencia Profesional INSTITUTO TECNOLÓGICO DE TEHUACÁN Departamento de Ingeniería Eléctrica-Electrónica Reporte de Residencia Profesional Proyecto: VIABILIDAD DE LA TARJETA BASYS2 PARA SU IMPLEMENTACIÓN EN EL CONTROL DE UN

Más detalles

Solución de Control de Accesos de bajo coste! Transmisor SKA UniScan FlexiScan Remoto 4 canales Receptor RF

Solución de Control de Accesos de bajo coste! Transmisor SKA UniScan FlexiScan Remoto 4 canales Receptor RF Accedemos al MUNDO Solución de Control de Accesos de bajo coste! Transmisor SKA UniScan Remoto 4 canales Receptor RF Sistema características de la gama conexionado elementos de la gama arquitectura sistema

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

1. Introducción. Universidad de Cantabria 1-1

1. Introducción. Universidad de Cantabria 1-1 1. Introducción Las empresas de transporte y distribución de energía eléctrica tuvieron que afrontar históricamente el problema que suponía el aumento de la energía reactiva que circulaba por sus líneas.

Más detalles

Implementación de DSP en FPGAs

Implementación de DSP en FPGAs Implementación de DSP en FPGAs Disertantes: Marcos Cervetto Ingeniero Electrónico - UBA Laboratorio de Radiocomunicaciones - INTI Edgardo Marchi Ingeniero Electrónico - UBA Laboratorio de Radiocomunicaciones

Más detalles

Sensor de Temperatura utilizando el Starter Kit Javelin Stamp. Realizado por: Bertha Palomeque A. Rodrigo Barzola J.

Sensor de Temperatura utilizando el Starter Kit Javelin Stamp. Realizado por: Bertha Palomeque A. Rodrigo Barzola J. Sensor de Temperatura utilizando el Starter Kit Javelin Stamp Realizado por: Bertha Palomeque A. Rodrigo Barzola J. INTRODUCCION DIFERENCIAS EJEMPLOS JAVA Orientado a Objetos Multiplataforma Programar

Más detalles

Controlador de chorro de tinta Editor GT

Controlador de chorro de tinta Editor GT Controlador de chorro de tinta Editor GT Aplicaciones con un valor añadido para impresión de datos variables Impresión de productos únicos que aportan valor añadido a la línea de producción de su empresa

Más detalles

LEAN CON LISTA SOLUCIONES PARA AGILIZAR LA PRODUCCIÓN. making workspace work

LEAN CON LISTA SOLUCIONES PARA AGILIZAR LA PRODUCCIÓN. making workspace work LEAN CON LISTA SOLUCIONES PARA AGILIZAR LA PRODUCCIÓN making workspace work POR QUÉ LISTA ES LA MEJOR OPCIÓN PARA SUS PROYECTOS LEAN La creación de valor añadido se puede organizar: LISTA le ofrece un

Más detalles

Funcionalidad. Gestión de Datos Maestros

Funcionalidad. Gestión de Datos Maestros SPOS SPOS es un punto de venta en desarrollado por GDS. Funciona como un módulo totalmente integrado con SMA ERP, con un completo flujo de información desde la venta al público hasta al back office. Visión

Más detalles

Capítulo 1: Diseño Estructurado:

Capítulo 1: Diseño Estructurado: Capítulo 1: Diseño Estructurado: Metodología General de Diseño Top-Down Herramientas Tecnologías de implementación Test de Circuitos y Sistemas DEA SED 1-1 Metodologías General de Diseño Análisis del Sistema

Más detalles

BAS-pcm. construction project management. solución tecnológica de negocio para la gestión integral de empresas constructoras

BAS-pcm. construction project management. solución tecnológica de negocio para la gestión integral de empresas constructoras BAS-pcm construction project management solución tecnológica de negocio para la gestión integral de empresas constructoras BAS-PTM Construction Project Management Solución Tecnológica de Negocio para la

Más detalles

INGRID Gestión geográfica de activos urbanos y mantenimiento

INGRID Gestión geográfica de activos urbanos y mantenimiento INGRID es una aplicación informática destinada a la gestión de activos. Nos permite realizar al mismo tiempo el inventariado y la posterior gestión de mantenimiento de los conceptos incluidos en la base

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

Diseño e implementación 15% Instalación y comisionamiento 6% Operación y mantenimiento 15%

Diseño e implementación 15% Instalación y comisionamiento 6% Operación y mantenimiento 15% SIS 202 Diseño funcional 15 minutos O Generalidades 1 Tipos de software 2 Ciclo de vida de desarrollo 3 Módulos de software certificados 4 Herramientas de utilidad de software 5 Sumario Generalidades En

Más detalles

METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO

METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO E. Magdaleno, M. Rodríguez, A. Ayala, B. R. Mendoza y S. Rodríguez. Universidad de La Laguna emagcas@ull.es, mrvalido@ull.es,

Más detalles

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE TEMA VII: ISEÑO SECUENCIAL PROGRAMABLE e igual forma que podíamos disponer de dispositivos combinacionales programables para poder implementar funciones combinacionales en un solo integrado, en el dominio

Más detalles

El Camino Más Rápido hacia Su Éxito Seminarios de National Instruments. Aprendizaje Práctico Nuevas Tecnologías Expertos Técnicos

El Camino Más Rápido hacia Su Éxito Seminarios de National Instruments. Aprendizaje Práctico Nuevas Tecnologías Expertos Técnicos El Camino Más Rápido hacia Su Éxito Seminarios de National Instruments Aprendizaje Práctico Nuevas Tecnologías Expertos Técnicos Más información y demos en ni.com/training/esa Seminarios que se Ajustan

Más detalles

CLASIFICACIÓN DE LAS COMPUTADORAS. Ing. Erlinda Gutierrez Poma

CLASIFICACIÓN DE LAS COMPUTADORAS. Ing. Erlinda Gutierrez Poma CLASIFICACIÓN DE LAS COMPUTADORAS Ing. Erlinda Gutierrez Poma Tipos de Computadoras Dentro de la evolución de las computadoras, han surgido diferentes equipos con diferentes tamaños y características según

Más detalles

Microprocesadores y microcontroladores. - Sistemas Empotrados -

Microprocesadores y microcontroladores. - Sistemas Empotrados - Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Microprocesadores y microcontroladores - Sistemas Empotrados - M.C. Jorge Eduardo Ibarra Esquer jorge.ibarra@uabc.edu.mx Semestre

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

Tema 2: Memorias y Dispositivos Lógicos Programables

Tema 2: Memorias y Dispositivos Lógicos Programables Tema 2: Memorias y Dispositivos Lógicos Programables 1. Memorias 1.1 Conceptos básicos 1.2 Clasificación de memorias semiconductoras. 1.3 Memorias de Acceso aleatorio - Memorias de sólo lectura (ROM).

Más detalles

GLOSARIO DE TÉRMINOS

GLOSARIO DE TÉRMINOS MINISTERIO DE EDUCACIÓN, CULTURA Y DEPORTE SECRETARÍA DE ESTADO DE EDUCACIÓN Y FORMACIÓN PROFESIONAL DIRECCIÓN GENERAL DE FORMACIÓN PROFESIONAL INSTITUTO NACIONAL DE LAS CUALIFICACIONES GLOSARIO DE TÉRMINOS

Más detalles

I NTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA

I NTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA I. INTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA 1.1. Informática Informática (Información Automática) es la ciencia y la técnica del tratamiento automatizado de la información mediante el uso de ordenadores.

Más detalles

INTRODUCCIÓN. Definiciones ORDENADOR (RAE 1992): En esta asignatura computador y ordenador tiene el mismo significado

INTRODUCCIÓN. Definiciones ORDENADOR (RAE 1992): En esta asignatura computador y ordenador tiene el mismo significado INTRODUCCIÓN UPCO ICAI Departamento de Electrónica y Automática 1 Definiciones ORDENADOR (RAE 1992): Máquina electrónica dotada de una memoria de gran capacidad y de métodos de tratamiento de la información,

Más detalles

Control de Acceso Autónomo API-600

Control de Acceso Autónomo API-600 El API-600 es un sistema inteligente mediante el cual podrá controlar el ingreso y egreso a áreas restringidas o de alta seguridad, activando cerraduras, torniquetes, barreras, etc. Su funcionamiento es

Más detalles

INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS

INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS Luis Entrena Arrontes Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso 1 Índice 1.1 Los circuitos integrados. Ventajas e inconvenientes

Más detalles

ERP. Es hora de crecer! Responsivo. Odoo es uno de los sistemas mas completos dentro del

ERP. Es hora de crecer! Responsivo. Odoo es uno de los sistemas mas completos dentro del ERP Es hora de crecer! Responsivo WEB Odoo trabaja sobre una plataforma 100% WEB permitiéndote acceder desde cualquier navegador en cualquier dispositivo. MOBILE Al ser web, Odoo te permite utilizarlo

Más detalles

El Producto: Software

El Producto: Software Este material está basado en el curso preparado por A.Navarro, UCM U (que a su vez sigue el texto del libro de Pressman) El Producto: Software Ingeniería del Software de Gestión 1 Facultad de Informática

Más detalles

Guía docente de la asignatura

Guía docente de la asignatura Guía docente de la asignatura Asignatura Materia Módulo Titulación DISEÑO DE CIRCUITOS Y SISTEMAS DIGITALES DISEÑO DE CIRCUITOS Y SISTEMAS ELECTRÓNICOS MATERIAS ESPECÍFICAS DE SISTEMAS ELECTRÓNICOS GRADO

Más detalles

CONTROL DE ASISTENCIA DE PERSONAL

CONTROL DE ASISTENCIA DE PERSONAL CONTROL DE ASISTENCIA DE PERSONAL PARA UNA EMPRESA INITE, S.C. no es responsable del contenido, de la veracidad de los datos, opiniones y acontecimientos vertidos en el presente proyecto. La finalidad

Más detalles

Procesamiento de facturas

Procesamiento de facturas 2 Procesamiento de facturas Gastos de empleados Reduzca el coste del departamento de Cuentas por pagar al automatizar la aprobación de facturas válidas y agilizar la resolución de discrepancias marcha:

Más detalles

LA REVOLUCIÓN DE LOS SISTEMAS DE INFORMACIÓN (S.I.) Introducción PORQUÉ SISTEMAS DE INFORMACIÓN? El Competitivo Entorno de los Negocios

LA REVOLUCIÓN DE LOS SISTEMAS DE INFORMACIÓN (S.I.) Introducción PORQUÉ SISTEMAS DE INFORMACIÓN? El Competitivo Entorno de los Negocios LA REVOLUCIÓN DE LOS SISTEMAS DE INFORMACIÓN (S.I.) Introducción Tanto empresas grandes como pequeñas usan Sistemas de Información y Redes para realizar una mayor proporción de sus actividades electrónicamente,

Más detalles

Digital Life: presentación del estudio

Digital Life: presentación del estudio Digital Life: presentación del estudio Digital es the new normal El crecimiento de Internet ha sido exponencial en los últimos años, ocasionando que los consumidores digitales estén en todas partes todo

Más detalles

Productos de medición monofásica para aplicaciones avanzadas de medición de energía. Medición Residencial

Productos de medición monofásica para aplicaciones avanzadas de medición de energía. Medición Residencial Productos de medición monofásica para aplicaciones avanzadas de medición de energía Medición Residencial Tecnología de Punta Landis+Gyr cuenta con más de 100 años de experiencia en diseño y desarrollo

Más detalles

Capítulo 11. Conclusiones y trabajo futuro

Capítulo 11. Conclusiones y trabajo futuro Capítulo 11. Conclusiones y trabajo futuro En esta tesis ha realizado un entorno de desarrollo Web que proporciona herramientas para la mejora de la calidad del código de los desarrolladores. Para conseguir

Más detalles

Documento técnico Sistemas según el principio de modularidad Automatización modular con terminales de válvulas

Documento técnico Sistemas según el principio de modularidad Automatización modular con terminales de válvulas Documento técnico Sistemas según el principio de modularidad Automatización modular con terminales de válvulas Los fabricantes deben acostumbrarse cada vez más a un mercado que realiza encargos más pequeños

Más detalles

Competencia de Robótica R2-D2 2014

Competencia de Robótica R2-D2 2014 Competencia de Robótica R2-D2 2014 Categoría: Velocista Nombre del Robot: Miyagui Institución: UTN-FRA Participantes: Calvo, Juan Ignacio Schuster, Mariela Medina, Sergio Daniel Índice 1. Introducción...

Más detalles

Introducción a la simulación de circuitos

Introducción a la simulación de circuitos Introducción a la simulación de circuitos Microcontroladores Simulación Electrónica Escuela de Ingeniería Industrial Profesor: Dr. Camilo Quintáns Graña Vigo, 0 LA SIMULACIÓN EN LA INGENIERÍA. La simulación

Más detalles

DISEÑO DE PLACAS DE PROTOTIPADO CON FPGAs VIRTEX Y APLICACIÓN PARA LABORATORIOS DOCENTES

DISEÑO DE PLACAS DE PROTOTIPADO CON FPGAs VIRTEX Y APLICACIÓN PARA LABORATORIOS DOCENTES DISEÑO DE PLACAS DE PROTOTIPADO CON FPGAs VIRTEX Y APLICACIÓN PARA LABORATORIOS DOCENTES Gerardo Leyva 1, Felipe Rizo 2, Carlos Carreras 1, Octavio Nieto-Taladriz 1 1 Universidad Politécnica de Madrid.

Más detalles

Capítulo 1. Introducción

Capítulo 1. Introducción Capítulo 1 Introducción 1.1 Antecedentes La producción musical, en su mayoría, se ha valido de distintos tipos de software computacional para realizar la edición de composiciones musicales. De toda la

Más detalles

Gestión Documental con Microsoft Office SharePoint Server 2007 (MOSS) Ignacio López - Ingeniero en Informática Software Architect en Alhambra-Eidos

Gestión Documental con Microsoft Office SharePoint Server 2007 (MOSS) Ignacio López - Ingeniero en Informática Software Architect en Alhambra-Eidos Gestión Documental con Microsoft Office SharePoint Server 2007 (MOSS) Ignacio López - Ingeniero en Informática Software Architect en Alhambra-Eidos Indice de Contenido Características Generales de MOSS

Más detalles

costes asociados Elena Valderrama Universitat Autònoma de Barcelona Curso académico 2009-10 Diseño de Circuitos Integrados I Ingeniería Informática

costes asociados Elena Valderrama Universitat Autònoma de Barcelona Curso académico 2009-10 Diseño de Circuitos Integrados I Ingeniería Informática página >>1 al Diseño de CIs Universitat Autònoma de Barcelona Curso académico 2009-10 Capítulo 4: y Capítulo 4: y costes página >>2 Capítulo 4: y costes En este capítulo se estudian las distintas alternativas

Más detalles

Sistemas de entradas y salidas

Sistemas de entradas y salidas Sistemas de entradas y salidas MATERIA: CONSTRUCCIONES E INSTALACIONES IND. INTEGRANTES: NATALIA HERRERA R. ALEX JALDIN G. FRANZ G DELGADILLO G. DOC. JUAN PABLO AMAYA CONTROL DE PERSONAL Un adecuado sistema

Más detalles

Xerox 700 Digital Color Press con Integrated Fiery Color Server. Impresión de datos variables

Xerox 700 Digital Color Press con Integrated Fiery Color Server. Impresión de datos variables Xerox 700 Digital Color Press con Integrated Fiery Color Server Impresión de datos variables 2008 Electronics for Imaging, Inc. La información de esta publicación está cubierta por los Avisos legales para

Más detalles

HELP-PC, S.L. Serveis Informàtics HELP-PC, S.L. Presentación. Del Terminal HUELLA DACTILAR

HELP-PC, S.L. Serveis Informàtics HELP-PC, S.L. Presentación. Del Terminal HUELLA DACTILAR HELP-PC, S.L. Presentación Del Terminal HUELLA DACTILAR Se acabaron las trampas a la hora de marcar la Entrada- Salida al trabajo La Identificación Mediante Huella Dactilar es la forma más segura para

Más detalles

El Producto. Qué es la Ingeniería de Software? Tecnología para construir software Un proceso Un conjunto de métodos Herramientas

El Producto. Qué es la Ingeniería de Software? Tecnología para construir software Un proceso Un conjunto de métodos Herramientas El Producto Qué es la Ingeniería de Software? Tecnología para construir software Un proceso Un conjunto de métodos Herramientas Evolución Primeros años Principios 1960 s orientación batch distribución

Más detalles