TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009.

Tamaño: px
Comenzar la demostración a partir de la página:

Download "TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009."

Transcripción

1 TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE EJERCICIO 1 (1,0 punto). El suministro de energía eléctrica de dos ciudades (ver figura) está gestionado por un sistema (L/C) que decide qué fuentes utilizar para dicho suministro en función del consumo de cada una de las ciudades. Existen tres fuentes de energía: una planta de ciclo combinado, un parque eólico y un sistema de suministro mixto que recoge a su vez energía de distintos tipos (nuclear, carbón, hidráulico ). En cada ciudad un sistema de monitorización establece el consumo eléctrico de la ciudad pudiendo detectar tres márgenes de consumo diferentes: a) por debajo de 1 MW, b) entre 1 y 5 MW, y c) por encima de 5 MW. El sistema que controla el suministro de las dos ciudades deberá conmutar adecuadamente las distintas fuentes de energía de acuerdo a los siguientes casos: Si el consumo de las dos ciudades está por debajo de 1 MW, el suministro provendrá exclusivamente del parque eólico. Si al menos una de las ciudades supera el consumo de 1 MW, la energía de los aerogeneradores se complementará con el sistema de suministro mixto. Un consumo de al menos una de las ciudades por encima de los 5 MW mientras que la otra se mantiene en un consumo inferior a 1 MW, hará que el 100% de la energía de las dos ciudades provenga de la planta de ciclo combinado, pero si el de la segunda se sitúa por encima de 1 MW, habrá que complementar el suministro de dicha planta con la del parque eólico. Con las dos ciudades consumiendo por encima de los 5 MW, habrá que utilizar las tres fuentes de energía simultáneamente. Ciudad 1 Ciudad 2 E C M Eólica Ciclo combinado Mixta E C M C2 i C1 i L/C

2 Si las señales E, C y M controlan el suministro de cada fuente de energía y las señales C1 i y C2 i codifican el consumo de cada ciudad, el objeto de este problema es diseñar el sistema L/C, para lo cual: Obtenga la tabla de verdad de las señales E, C y M en función de C1 i y C2 i. Implemente E con sólo puertas NAND. Implemente C con un decodificador lo más pequeño posible con la salida activa a nivel bajo. Implemente M con un multiplexor controlado por C2 i. SOLUCIÓN En primer lugar, y dado que codifican tres (3) posibles márgenes de consumo por cada ciudad, las señales C1 i y C2 i serán de 2 bits. Así, una posible codificación sería: 0 0 Menor de 1 MW 0 1 Entre 1 y 5 MW 1 0 Mayor de 5 MW 1 1 No se utiliza Para esta codificación y, teniendo en cuenta los distintos casos, quedaría una tabla de verdad como la que sigue: Caso C1 1 C1 0 C2 1 C2 0 E C M 1 Las 2 < 1 MW Una entre 1 y 5 MW y la otra no impone otro caso < 1 MW y > 5 MW No se da (no existe 11) X X X 5 Igual que 2 (cambia Ciudad 1 por 2) Igual que 2 (las dos entre 1 y 5 MW) Entre 1 y 5 MW y > 5 MW No se da (no existe 11) X X X 9 Igual que 3 (cambia Ciudad 1 por 2) Igual que 7 (cambia Ciudad 1 por 2) Las 2 > 5 MW No se da (no existe 11) X X X 13 No se da (no existe 11) X X X 14 No se da (no existe 11) X X X 15 No se da (no existe 11) X X X 16 No se da (no existe 11) X X X Punto 1 (color verde), punto 2 (color rojo), punto 3 (color azul) y punto 4 (color rosa).

3 Implementación de E con sólo NAND UNIVERSIDAD DE CASTILLA LA MANCHA C1 1 C1 0 C2 1 C X X 1 X X X X 0 1 X 1 E = C1 C 0 C20 C1 C21 C1 21 Implementación de C con un decodificador lo menor posible Implementación de M con un MUX colocando en las líneas de selección la codificación de la ciudad 2

4 EJERCICIO 2 (0,5 puntos). Diseñe un circuito que admite como entrada un número en binario natural de 4 bits (E 3, E 2, E 1, E 0 ) siendo E 3 el bit más significativo, y su salida ataca a los displays de 7 segmentos, uno de ellos, el D, representa las decenas del valor decimal del número, y el otro de ellos, el U representa el valor de las unidades, de acuerdo con la Figura adjunta. Para atacar a las decenas, sólo se necesita una línea F que activa, cuando se pone a 1, los segmentos de la derecha, quedando apagados todos cuando F es 0. Para atacar al display de las unidades se utilizan 4 líneas que expresan en BCD el valor que debe verse en el display (la codificación de BCD a 7 segmentos está incluida dentro del display y no es objeto de nuestro problema). Para resolver correctamente este problema es necesario utilizar módulos combinacionales y subsistemas aritméticos y lógicos. SOLUCIÓN Todo depende de si el número es mayor o igual que 10 o no, o, lo que es lo mismo, si es mayor que 9 o no. Por tanto se comparará el número con 9 (en binario 1001). Si el número es mayor que 9, se activa la salida A>B y en ese caso en D debe aparecer 1, por tanto F=1 cuando en número es mayor que 9 y F=0 en caso contrario. Por tanto, F es directamente la salida A>B. Además, en este caso debe restarse 10 del número binario, o lo que es lo mismo, sumar 0110, igual que en el sumador BCD, para obtener las unidades. Si el número es menor que 10, F=0 y directamente el número binario debe llegar al display de las unidades. La propia salida A>B, que coincide con F, controla los MUX 2x1 que eligen entre las dos opciones.

5

Sistemas Combinacionales

Sistemas Combinacionales Sistemas Combinacionales Tipos de Sistemas Digitales Puertas Lógicas Bloques Combinacionales Multiplexores Decodificadores/demultiplexores Decodificadores BCD a 7 segmentos Codificadores Comparadores Sumadores

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

TEMA 3 BLOQUES COMBINACIONALES.

TEMA 3 BLOQUES COMBINACIONALES. TEMA 3 BLOQUES COMBINACIONALES. Objetivos. Describir la diferencia entre circuitos combinacionales y secuenciales. Interpretar la función de un multiplexor, un demultiplexor, un codificador y un decodificador.

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores)

Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores) Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores) CONCEPTOS PREVIOS MULTIPLEXORES: Los multiplexores son circuitos combinacionales con varias entradas y una salida de datos, y están

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

TECNOLOGÍA DE COMPUTADORES. CURSO 2016/17. Problemas Temas 7

TECNOLOGÍA DE COMPUTADORES. CURSO 2016/17. Problemas Temas 7 TECNOLOGÍA DE COMPUTADORES. CURSO 2016/17. Problemas Temas 7 1) Identifica el circuito de la figura: A Codificador 2x4 con Enable invertido B Decodificador 2x4 con salida invertida C Decodificador 3x4

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

Módulos combinacionales básicos. Tema 7

Módulos combinacionales básicos. Tema 7 Módulos combinacionales básicos Tema 7 Qué sabrás al final del capítulo? Funcionamiento de los módulos combinacionales básicos: Codificadores Decodificadores Multiplexores Demultiplexores Redes de módulos

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN LISTADO DE PRÁCTICAS CURSO 2005/2006 Practicas de Fundamentos de Computadores (05/06) 2 Práctica 1 Construcción de Funciones Lógicas

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS Suma y resta binaria Diseño de un sumador Análisis del sumador Análisis de un sumador/restador Suma y resta en BCD Suma y resta en BCD exceso de tres Análisis

Más detalles

ELECTRÓNICA. Unidad 2: Circuitos combinacionales. Primera Parte

ELECTRÓNICA. Unidad 2: Circuitos combinacionales. Primera Parte ELECTRÓNICA Unidad 2: Circuitos combinacionales Primera Parte Unidad 2: Circuitos combinacionales 1. Introducción a los circuitos combinacionales. 2. Codificadores y decodificadores. 2.1. Codificadores

Más detalles

PRÁCTICA 1b: SUMA Y RESTA BINARIA

PRÁCTICA 1b: SUMA Y RESTA BINARIA DEPARTAMENTO DE AUTOMÁTICA UAH GRADO EN INGENIERÍA INFORMÁTICA OBJETIVOS PRÁCTICA 1b: SUMA Y RESTA BINARIA ü ü Iniciar y familiarizar al alumno con las operaciones básicas (suma y resta) con números binarios.

Más detalles

Circuitos Combinatorios

Circuitos Combinatorios UNIDAD 5 Circuitos Combinatorios Introducción a la unidad Los circuitos combinatorios o circuitos combinacionales transforman un conjunto de entradas en un conjunto de salidas de acuerdo con una o más

Más detalles

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos Bloques para el encaminamiento y/o transferencia de datos Multiplexor Demultiplexor Decodificador Codificador Bloques para el procesamiento de datos Comparador Bloques para la generación de funciones booleanas

Más detalles

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales U_. Se desea transmitir las primeras cuatro letras del alfabeto de un ordenador ORD a otro ORD. En el primero las cuatro letras están codificadas en tres líneas X, X y X y en el segundo tan sólo en dos,

Más detalles

Organización de Computadoras

Organización de Computadoras Organización de Computadoras SEMANA 1 UNIVERSIDAD NACIONAL DE QUILMES Qué vimos? Sistema Binario Interpretación Representación Aritmética Sistema Hexadecimal Hoy! Lógica proposicional Compuertas lógicas:

Más detalles

Montaje y evaluación de sistemas digitales combinacionales.

Montaje y evaluación de sistemas digitales combinacionales. PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de:

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

Circuitos combinacionales

Circuitos combinacionales Circuitos combinacionales Luis ntrena, Celia López, Mario García, nrique an Millán Universidad Carlos III de Madrid Circuitos combinacionales y secuenciales l Combinacionales: alida depende sólo de la

Más detalles

SELECCIÓN DE PROBLEMAS

SELECCIÓN DE PROBLEMAS SELECCIÓN DE PROBLEMAS 1. Representación numérica 1.1. Convertir a hexadecimal y a binario las siguientes cantidades: a) 757.25 10 b) 123.17 10 1.2. Se dispone de palabras de 10 bits. Representar mediante

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería de Computadores Tecnología de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

PRÁCTICA 1: SISTEMAS COMBINACIONALES

PRÁCTICA 1: SISTEMAS COMBINACIONALES DEPARTAMENTO DE AUTOMÁTICA UAH GRADO EN INGENIERÍA INFORMÁTICA OBJETIVOS PRÁCTICA : SISTEMAS COMBINACIONALES ü ü Iniciar y familiarizar al alumno con su puesto de trabajo en el laboratorio y con el manejo

Más detalles

El diagrama de bloques propuesto, se presenta a continuación: Los Circuitos Integrados que se van a utilizar: 7483.

El diagrama de bloques propuesto, se presenta a continuación: Los Circuitos Integrados que se van a utilizar: 7483. Ejercicio 6.1: Realizar un convertidor BCD-Aiken a BCD-Natural y otro BCD-Natural a BCD-Aiken. Para ello, deben utilizarse el sumador 7483 y el mínimo numero de puertas lógicas necesarias. Utilizando estos

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

Electrónica Digital: Sistemas Numéricos y Algebra de Boole

Electrónica Digital: Sistemas Numéricos y Algebra de Boole Electrónica Digital: Sistemas Numéricos y Algebra de Boole Profesor: Ing. Andrés Felipe Suárez Sánchez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: andres.suarez@correounivalle.edu.co

Más detalles

APOYO PARA EL LOGRO DEL PRIMER APRENDIZAJE ESPERADO: CONCEPTOS PREVIOS

APOYO PARA EL LOGRO DEL PRIMER APRENDIZAJE ESPERADO: CONCEPTOS PREVIOS Profesor/a(s) Nivel o Curso/s 4º Ramon Flores Pino Unidad/Sub Unidad 2.- Circuitos de lógica Combinacional Contenidos 1 Compuertas lógicas 2. Enfoque de problemas, 3.- Codificadores y decodificadores GUÍA

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

PRÁCTICA 1: SISTEMAS COMBINACIONALES

PRÁCTICA 1: SISTEMAS COMBINACIONALES DEPARTAMENTO DE AUTOMÁTICA UAH GRADO fdsfdsdfsdfsdf EN INGENIERÍA INFORMÁTICA OBJETIVOS Iniciar y familiarizar al alumno con su puesto de trabajo en el laboratorio y con el manejo de la instrumentación

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

GUIAS ÚNICAS DE LABORATORIO SUMADOR Y MULTIPLEXOR AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO SUMADOR Y MULTIPLEXOR AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO SUMADOR Y MULTIPLEXOR AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS SUMADOR Y MULTIPLEXOR OBJETIVO La práctica presente tiene

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos FUNDAMENTOS DE SISTEMAS DIGITALES Tema 3: Lógica combinacional (II): Ruta de datos 1 Programa 1. Circuitos selectores de datos (multiplexores). 2. Demultiplexores. 3. Codificadores con prioridad. 4. Amplificadores

Más detalles

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL 11 1) Cuántas funciones de conmutación diferentes se pueden definir con 3 variables binarias? a) 8. b) 9. c) depende del problema en concreto. d) 256. 2)

Más detalles

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select. Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan

Más detalles

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial Boletín de Problemas de Circuitos Combinacionales Fundamentos de Electrónica 3º Curso Ingeniería Industrial 2 1. Utilizar el mapa de Karnaugh para implementar la forma suma de productos mínima de la función

Más detalles

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos electrónicos digitales Unidades Aritméticas Lógicas Índice Introducción Circuitos sumadores básicos Sumador paralelo de n bits Sumador/Restador Unidad aritmético-lógica (ALU) Introducción Los

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

Tema 3. Tema 3: Lógica Combinacional (II): Ruta de Datos.

Tema 3. Tema 3: Lógica Combinacional (II): Ruta de Datos. Tema 3 Tema 3: Lógica Combinacional (II): Ruta de Datos. 6.1 Circuitos selectores de datos (Multiplexor) Multiplexor = circuito con N entradas, 1 salida y n patillas de selección, tal que 2 n =N. Con

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37 ÍNDICE LISTA DE FIGURAS... 7 LISTA DE TABLAS... 11 CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN... 13 1.1. REPRESENTACIÓN DE LA INFORMACIÓN... 15 1.2. SISTEMAS DE NUMERACIÓN BINARIO NATURAL Y HEXADECIMAL... 18 1.3.

Más detalles

8. Multiplexores Digitales

8. Multiplexores Digitales 8. Multiplexores Digitales El multiplexor permite seleccinar del total de líneas de entrada una única y trasladar la información que conlleva dicha línea a la salida del circuito. Suele utilizarse para

Más detalles

INDICE Capítulo 1. Introducción Capítulo 2. Circuitos lógicos básicos Capítulo 3. Sistemas numéricos Capítulo 4. Codificación

INDICE Capítulo 1. Introducción Capítulo 2. Circuitos lógicos básicos Capítulo 3. Sistemas numéricos Capítulo 4. Codificación INDICE Capítulo 1. Introducción 1.1. Cantidades analógicas y digitales 1.2. Sistemas electrónico digitales 16 1.3. Circuitos integrados 17 1.4. Disipación de potencia y velocidad de operación 1.5. Aplicación

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

EJERCICIOS. (Tema 5).

EJERCICIOS. (Tema 5). EJERCICIOS. (Tema 5). 1) Diseña un circuito que sume la unidad a un número de N bits. 2) Se desea diseñar un circuito que realice la resta de dos números positivos (A y B) de 4 bits. Para ello, es necesario

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

TEMA 1. Sistemas Combinacionales.

TEMA 1. Sistemas Combinacionales. TEMA. Sistemas Combinacionales.. Introducción a los sistemas digitales. Familias lógicas (2-20) 2. Definición de circuito combinacional (2-25) 3. Funciones combinacionales. Simplificación e implementación

Más detalles

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios.

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Práctico 3 Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Objetivo Conocer y entrenarse en las técnicas para la construcción de circuitos combinatorios de mediano porte. Conocer

Más detalles

Operación de circuitos electrónicos a equipos de refrigeración y aire acondicionado: CONALEP SLRC DECODIFICADOR

Operación de circuitos electrónicos a equipos de refrigeración y aire acondicionado: CONALEP SLRC DECODIFICADOR DECODIFICADOR El decodificador es un circuito combinacional diseñado para convertir un número binario (entrada) en word de "unos" y "ceros" (niveles altos y bajos de voltaje) con un orden distinto, para

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

Universidad Nacional de Quilmes

Universidad Nacional de Quilmes Universidad Nacional de Quilmes ASIGNATURA : TECNICAS DIGITALES CURSO :... CICLO LECTIVO : 2010 T. P. Nro. : II NOMBRE DEL T. P. : MBINACIONAL II: Decodificadores, Multiplexor, DeMultiplexor, Comparador,

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras 1121025 Arquitecaura de Computadoras - Introducción a la Arquitectura de Computadoras Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma

Más detalles

plicación de los circuitos SUMADOR DIBITAL S C

plicación de los circuitos SUMADOR DIBITAL S C plicación de los circuitos ógicos A B SUMADOR DIBITAL S C Aplicaciones de los circuitos lógicos Algunas aplicaciones elementales como los circuitos aritméticos digitales y los codificadores y decodificadores,

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

Bajonero Domínguez Marco Jafeth

Bajonero Domínguez Marco Jafeth Nombredelestudiante:HernándezGarfiasRaúl BajoneroDomínguezMarcoJafeth Nombredeltrabajo:CODIFICADORES(74HC147) Campus:SanRafael Carrera:IngenieríaenSistemas Nombredelmaestro:LauraRamírezG. CODIFICADORES

Más detalles

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 4

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 4 CIRCUITOS ELECTRÓNICOS DIGITALES GRADO EN INGENIERÍA INFORMÁTICA TECNOLOGÍAS INFORMÁTICAS BOLETÍN DE PROBLEMAS 4 1.- Indique cuántos bits son necesarios, como mínimo, para representar cada uno de los siguientes

Más detalles

GUIA DE COMPONENTE PRACTICO

GUIA DE COMPONENTE PRACTICO GUIA DE COMPONENTE PRACTICO Con el propósito de fomentar el desarrollo de habilidades en el diseño e implementación física de circuitos digitales, se ha diseñado un componente práctico que será desarrollado

Más detalles

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos Fundamentos de Computadores Tema 5 Circuitos Aritméticos OBJETIVOS Conceptuales: Suma y resta binaria Implementaciones hardware/software Circuito sumador y semi-sumador básico Sumadores/restadores de n

Más detalles

Problemas de Sistemas Digitales. Grados en I.Informática e I.Informática de Sistemas

Problemas de Sistemas Digitales. Grados en I.Informática e I.Informática de Sistemas Problemas de Sistemas Digitales Grados en I.Informática e I.Informática de Sistemas Curso 2013 2014 Introducción 1. Utilizando tablas de verdad, comprobar que cualquier función lógica de dos variables

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y Introducción Circuitos Bloques Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires

Más detalles

Tema 3: Sistemas Combinacionales

Tema 3: Sistemas Combinacionales Ejercicios T3: Sistemas Combinacionales Fundamentos de Tecnología de Computadores Tema 3: Sistemas Combinacionales 1. Analizar el siguiente circuito indicando la expresión algebraica que implementa, la

Más detalles

CIRCUITOS DIGITALES UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ING. CRISTIAN FLORES ALUMNO: TITO GUASCO FECHA:

CIRCUITOS DIGITALES UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ING. CRISTIAN FLORES ALUMNO: TITO GUASCO FECHA: CIRCUITOS DIGITALES 2011 UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ALUMNO: FECHA: ING. CRISTIAN FLORES TITO GUASCO 11-10-2011 2 CIRCUITOS DIGITALES TEMA: COMPUERTAS LOGICAS Las computadoras

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Dr. Marcelo Risk Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires 2017 Lógica

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Enunciados de problemas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Tema 3: Hoja: 2 / 18 Tema 3: Hoja: 3 / 18 Base teórica

Más detalles

ARQUITECTURA DE COMPUTADORES INFORME DE LABORATORIO Nº 2 CÁRDENAS MOYA JOSÉ GABRIEL TUTOR ING. HAIMER GUTIERREZ

ARQUITECTURA DE COMPUTADORES INFORME DE LABORATORIO Nº 2 CÁRDENAS MOYA JOSÉ GABRIEL TUTOR ING. HAIMER GUTIERREZ ARQUITECTURA DE COMPUTADORES INFORME DE LABORATORIO Nº 2 CÁRDENAS MOYA JOSÉ GABRIEL TUTOR ING. HAIMER GUTIERREZ UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD CEAD ACACIAS QUINTO SEMESTRE INGENIERÍA DE

Más detalles

Ejercicios. Arquitectura de Computadoras. José Garzía

Ejercicios. Arquitectura de Computadoras. José Garzía jercicios de rquitectura de Computadoras José Garzía n la figura se representa el diagrama de flujo de un algoritmo. B X Y +B í + 7=? No B B+ C +B Los registros, B y C tienen una longitud de 8 bits. 7

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores

Más detalles

Tema 3: Operaciones aritméticas y lógicas

Tema 3: Operaciones aritméticas y lógicas Tema 3: Operaciones aritméticas y lógicas S Suma-resta en base dos S Operaciones lógicas: OR, AND, XOR y NOT S Operaciones de desplazamiento S Suma-resta en los diferentes sistemas de representación de

Más detalles

Sistemas Digitales. Guía 02 UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA. I. Objetivos. II. Introducción Teórica

Sistemas Digitales. Guía 02 UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA. I. Objetivos. II. Introducción Teórica UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA CICLO: 01-2013 Guía de laboratorio Nº2 Nombre de la práctica: Simulación en Circuit Maker Lugar de ejecución: Laboratorio

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

Unidad Didáctica. Codificadores

Unidad Didáctica. Codificadores Unidad Didáctica Codificadores rograma de Formación bierta y Flexible Obra colectiva de FONDO FORMACION Coordinación Diseño y maquetación Servicio de Producción Didáctica de FONDO FORMACION (Dirección

Más detalles

Estudia y analiza el movimiento de los electrones que se genera en un circuito en el cual se procesa y se transmite la información.

Estudia y analiza el movimiento de los electrones que se genera en un circuito en el cual se procesa y se transmite la información. CAPITULO I LA ELECTRÓNICA Estudia y analiza el movimiento de los electrones que se genera en un circuito en el cual se procesa y se transmite la información. TIPOS DE ELECTRÓNICA Electrónica Analógica

Más detalles

Práctica 2: Montaje de un circuito combinacional usando puertas lógicas

Práctica 2: Montaje de un circuito combinacional usando puertas lógicas Práctica 2: Montaje de un circuito combinacional usando puertas lógicas El objetivo de esta práctica es tomar contacto con el puesto de laboratorio y aprender a realizar la fase de montaje de un circuito

Más detalles

Curso a Distancia INTRODUCCIÓN AL DISEÑO DIGITAL CON VHDL Y LÓGICA PROGRAMABLE. Ing. Arturo J. Miguel de Priego Paz Soldán.

Curso a Distancia INTRODUCCIÓN AL DISEÑO DIGITAL CON VHDL Y LÓGICA PROGRAMABLE. Ing. Arturo J. Miguel de Priego Paz Soldán. Curso a Distancia INTRODUCCIÓN AL DISEÑO DIGITAL CON VHDL Y LÓGICA PROGRAMABLE Ing. Arturo J. Miguel de Priego Paz Soldán www.tourdigital.net Chincha, Perú 30 de octubre de 2008 Capítulo 4 DESCODIFICADORES

Más detalles

FUNDAMENTOS DE COMPUTADORES 1ª PRUEBA ESCRITA

FUNDAMENTOS DE COMPUTADORES 1ª PRUEBA ESCRITA FUNMENTOS E OMPUTORES 1ª PRUE ESRIT GRO EN INGENIERÍ INFORMÁTI FUNMENTOS E OMPUTORES 1ª PRUE ESRIT pellidos y nombre Firma NORMS: Las preguntas de test se responderán en el casillero adjunto. No está permitido

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

CODIFICADORES Y DECODIFICADORES

CODIFICADORES Y DECODIFICADORES CODIFICADORES Y DECODIFICADORES Centro CFP/ES CODIFICADORES Un elemento cuyo código de entrada tiene más bits que el código de salida, a este elemento le llamaremos codificador. Si tenemos tres salidas,

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales

LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales 1 LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales Objetivos Diseñar un circuito digital combinacional que permita realizar la suma de dos números binarios de 3 bits cada uno. Utilizar LEDs

Más detalles

Pertinencia para el Programa

Pertinencia para el Programa Formato básico para la elaboración de Syllabus ESCUELA TECNOLÓGICA INSTITUTO TÉCNICO CENTRAL - ETITC Vicerrectoría Académica Facultad: SISTEMAS Identificación del Espacio Académico Nombre de la Asignatura:

Más detalles

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI Sistemas Digitales TRABAJO PRÁCTICO Nº 4 Sistemas combinacionales MSI Ejercicio Nº 1: Se desea transmitir datos de dos bits con control de paridad impar. Utilice un decodificador para diseñar un circuito

Más detalles

CYNTHIA PATRICIA GUERRERO SAUCEDO

CYNTHIA PATRICIA GUERRERO SAUCEDO Manual de prácticas Prueba Circuitos Electrónicos Digitales Para Sistemas de Control Tabla de contenido Practica #1 Las Compuertas Lógicas...3 Practica #2 Circuitos Lógicos Combinacionales...6 Practica

Más detalles

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES Ejercicio PAU Septiembre 2010/2011 a) Rellenamos la tabla de la verdad colocando salidas 1 en las posiciones indicadas: Posición a b c d f 0 0 0

Más detalles

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Se emplean para: Transformación de datos Operaciones aritméticas (suma, resta...)

Más detalles

PROBLEMAS DE ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES I

PROBLEMAS DE ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES I 1) Convertir los siguientes números a sus correspondientes representaciones en las bases 2,, 5 y 16: a) 465 10 b) 24 8 c) 4287 10 2) Pasar los siguientes números binarios a base octal y hexadecimal: a)

Más detalles

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0 PRACTICAS DE GAL S Software WinCupl 5.0 10 PRACTICAS DE GAL S (22V10) 1.- Compuerta and de dos entradas. 2.- Una compuerta or y una compuerta and de dos entradas. 3.- Decodificador de binario a decimal.

Más detalles

Tema 1: Circuitos Combinacionales

Tema 1: Circuitos Combinacionales Tema : Circuitos Combinacionales Contenidos. Introducción. Aritmética. Álgebra de Boole Señales Sistemas. Introducción Entrada Ecitación Sistema Salida Respuesta Un sistema es un conjunto de partes o elementos

Más detalles