Circuitos combinacionales

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Circuitos combinacionales"

Transcripción

1 Circuitos combinacionales Luis ntrena, Celia López, Mario García, nrique an Millán Universidad Carlos III de Madrid

2 Circuitos combinacionales y secuenciales l Combinacionales: alida depende sólo de la entrada jemplo: sumador de dos operandos l ecuenciales: alida depende de las entradas y del estado jemplo: sumador acumulador A B + =A+B A + Reg. Acum. =+A Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

3 Índice l Codificadores l Decodificadores l Multiplexores l Demultiplexores l Comparadores l l l l l Funcionalidad Implementación Asociación Uso para implementación de funciones Utilidad Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

4 . Codificadores l Definición: Circuito combinacional que permite transformar un nivel activo en una de sus entradas en un valor codificado l jemplo: teclado numérico ntradas: dígitos -9 alidas: codificación binaria (4 bits) Activar 5 => = (=5) Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 4

5 Codificadores sin prioridad l Características uponen que sólo una entrada puede estar activa i se activan varias entradas a la vez, la salida puede ser errónea. l Funciones lógicas =8+9 = =++6+7 = l Problemas: y 4 activas dan resultado 5 Ninguna entrada activa da resultado ntrada activa Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 5

6 jemplo: codificador 4: sin prioridad l M:N M entradas, N salidas l O: nable Output irve para diferenciar el caso de activarse y el de que no haya nada activo También sirve para asociar varios codificadores l Casos no contemplados Cualquier combinación de activación múltiple Las salidas son indiferentes Resto de casos COD4: O O X X X Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 6

7 jemplo: codificador 4: sin prioridad O X X X X + = X X X X X X X Resto de casos X X X X X X X X X X X X X X O = X X X X X X X X X X X + = Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 7

8 Codificadores con prioridad l Características i se activan varias entradas a la vez, dan prioridad a una de ellas Prioridad: Al bit más significativo: se da prioridad a la entrada mayor i se activan y 5, el resultado es 5 Al bit menos significativo: se da prioridad a la entrada menor i se activan y 5, el resultado es Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 8

9 jemplo: codificador 4: con prioridad al más significativo l M:N M entradas, N salidas l O: nable Output l I ó : nable Input o nable. Habilitación irve para habilitar: (deshabilitado) implica que las salidas valen (habilitado) indica funcionamiento normal Junto con O también sirve para asociar varios codificadores I COD4: I X X X X X X X X X X O O Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 9

10 jemplo: codificador 4: con prioridad al más significativo I X X X X X X X X X X O l Recordatorio X en las salidas X en el diagrama X en las entradas múltiples casos = I ( + ) = I + ) ( O = I( ) Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

11 Asociación de codificadores: COD8: con dos COD 4: l e encadenan los I y O l Cuando un COD está activo (I= ) y no tiene ninguna entrada activa, activa al siguiente COD (O= ) I I COD4: COD4: O O O Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

12 Utilidad de los codificadores l ensores de piso de un ascensor Codifican cada sensor al número de piso No necesita prioridad, ya que el ascensor sólo puede estar en un piso l Botonera Codifica el valor de la tecla pulsada Necesita prioridad, ya que se pueden pulsar varios botones a la vez Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

13 . Decodificadores l Definición: Circuito combinacional que transforma un valor codificado en la activación de la salida correspondiente al dicho valor. Realizan la función inversa a los codificadores DC:4 X X Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

14 Decodificadores l Funciones lógicas: Cada salida del decodificador es un mintérmino DC:4 = = = = Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 4

15 Decodificadores l Decodificador con salidas activas por nivel bajo: Cada salida del decodificador es un maxtérmino DC:4 = = = = Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 5

16 Asociación de decodificadores l DC:8 con DC:4 ólo uno de los decodificadores está activo, dependiendo del valor de l inversor hace la función de un DC: No tiene nable global DC:4 DC: Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 6

17 Asociación de decodificadores l DC4:6 con DC:4 ólo uno de los decodificadores está activo, dependiendo del valor de l decodificador de la izquierda se comporta como un DC: Tiene nable Global. i =, ningún decodificador se activa y las salidas valen DC:4 DC:4 DC: Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 7

18 Asociación de decodificadores l DC4:6 con DC:4 ólo uno de los decodificadores está activo, dependiendo del valor de y DC:4 DC:4 DC:4 DC: DC:4 4 5 Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 8

19 Implementación de funciones lógicas con decodificadores l l l e pueden implementar funciones lógicas con un DC y una puerta OR Las salidas del DC son los mintérminos. e suman las que valgan en la tabla de verdad l dual se hace con DC de salidas a nivel bajo y una puerta AND. b a DC:4 = ab = ab = ab = ab m a b f f = ab + ab = + b a DC:4 f Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 9

20 Decodificador BCD-decimal l Decodifica un dígito decimal codificado en BCD (natural) a salidas que representan -9 l l comportamiento no está definido si la entrada no es un dígito decimal BCD-DC Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

21 Decodificador BCD-7 segmentos l Decodifica un dígito decimal codificado en BCD (natural) a los LDs de un display 7-segmentos Display 7-seg f e a g d b c Resto a b c d e f g X X X X X X X BCD-7G a b c d e f g Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

22 Utilidad de los decodificadores l Microprocesadores: Decodificación de instrucciones Puertos de /, direcciones de memoria, etc. Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

23 . Multiplexores l Definición: Circuito que permite seleccionar una de las entradas y copiar su valor a la salida. La entrada seleccionada depende del valor que se dé a las entradas de control. e denominan por el número de entradas de dato: MUX, MUX4, n = N N=entradas de datos, n=entradas de control C MUX C MUX4 C C MUX4 C C Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

24 Multiplexores l Función lógica l Implementación con puertas MUX4 C C C C C C = C C + C C + + C C + C C Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 4

25 Multiplexores l Función lógica MUX4 C C C C l Implementación con decodificador C C DC:4 = C C + C C + C C + C C + Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 5

26 Asociación de multiplexores l MUX8 a partir de MUX4 y MUX MUX4 C C MUX4 C C C l MUX selecciona entre los MUX4, dependiendo del valor del bit de control más significativo (C) l Los bits de C y deben asignarse según su peso C C Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 6

27 Asociación de multiplexores l MUX8 a partir de MUX MUX4 C C MUX4 C C MUX4 C C C l l MUX4 de la derecha se comporta como un MUX l Recordatorio: las entradas de un circuito DBN estar conectadas; las salidas pueden quedar desconectadas C C Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 7

28 Implementación de funciones lógicas con multiplexores l Con un MUX de tantas entradas de control como variables tiene la función Las variables de la función van al control del MUX, ordenadas por peso Los valores de la función en la tabla de verdad son las entradas de datos del MUX a b c f MUX C C C a b c f Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 8

29 Implementación de funciones lógicas con multiplexores l Con un MUX de menos entradas de control que variables tiene la función Agrupar la tabla de verdad según las variables menos significativas Las variables de la función de mayor peso van al control del MUX, ordenadas por peso Los valores de la función en la tabla de verdad son las entradas de datos del MUX a b c f f(c) /C C /C C MUX4 C C a b Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 9

30 4. Demultiplexores l l l Definición: circuito que copia el valor de la entrada de datos en la salida indicada por el valor de las señales de control. on el circuito opuesto a los multiplexores on equivalentes a decodificadores, si equiparamos las entradas de control (Ci) del DMUX a las de datos (i) del DC, y la señal de dato del DMUX (D) al nable del DC () D C C DMUX4 D C C DC:4 X X Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

31 Utilidad de multiplexores y demultiplexores l Transmisión serie multiplexada A B C MUX C B A DMUX A B C D D Línea telefónica digital multiplexada en el tiempo Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

32 5. Comparadores l Definición: circuito que permite determinar si dos datos son iguales, o si uno es mayor que otro. l N es el número de bits de los datos N N a b COMP a>b a=b a<b Comparador -bit a b a=b a>b a<b f f f a= b a> b a< b = = = a b ab ab Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

33 Comparadores l Comparador -bit fa= b = ( a b ) ( a b ) ( a b ) a=b a=b a=b fa> b = ab + a>b + ( a + ( a b ) a b + b ) ( a b ) a fa> b = ab + a<b + ( a + ( a b b ) a b ) ( a + b b ) a b a=b y a>b a=b, a=b y a>b a=b y a<b a=b, a=b y a<b l l a b COMP a>b a=b a<b e puede generalizar De este modo se reutilizan muchas puertas (XOR) Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8

34 Bibliografía l Circuitos y istemas Digitales. J.. García ánchez, D. G. Tomás, M. Martínez Iniesta. d. Tebar-Flores l lectrónica Digital, L. Cuesta,. Gil, F. Remiro, McGraw-Hill l Fundamentos de istemas Digitales, T.L Floyd, Prentice-Hall Luis ntrena, Celia López, Mario García, nrique an Millán. Universidad Carlos III de Madrid, 8 4

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

Sistemas Digitales. Circuitos Codificadores

Sistemas Digitales. Circuitos Codificadores Sistemas Digitales Circuitos Codificadores Se definen como tal, a circuitos combinacionales que tienen 2 n entradas y n salidas, aunque en algunos casos prácticos, suelen tener menos entradas. A cada una

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

Circuitos combinacionales aritméticos (Parte II)

Circuitos combinacionales aritméticos (Parte II) Circuitos combinacionales aritméticos (Parte II) Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid Contenidos. Circuitos sumadores y restadores Ø Sumadores con

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Universidad Nacional de Quilmes

Universidad Nacional de Quilmes Universidad Nacional de Quilmes ASIGNATURA : TECNICAS DIGITALES CURSO :... CICLO LECTIVO : 2010 T. P. Nro. : II NOMBRE DEL T. P. : MBINACIONAL II: Decodificadores, Multiplexor, DeMultiplexor, Comparador,

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

TEMA 2: Control combinacional. 1.- Introducción. Esquema:

TEMA 2: Control combinacional. 1.- Introducción. Esquema: Esquema: TEMA 2: Control combinacional TEMA 2: Control combinacional...1 1.- Introducción...1 1.1.-Diseño de circuitos combinacionales...2 2.- Circuitos combinacionales avanzados...2 2.1.- Codificadores...2

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción INDICE Prologo XIII Introducción XV 1. Introducción a la técnica digital 1.1. Introducción 1 1.2. Señales analógicas y digitales 1.2.1. Señales analógicas 1.2.2. Señales digitales 2 1.3. Procesos digitales

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Oscar Ignacio Botero H. Codificadores y Decodificadores. CODIFICADORES Y DECODIFICADORES

Oscar Ignacio Botero H. Codificadores y Decodificadores. CODIFICADORES Y DECODIFICADORES Oscar Ignacio otero H. ODIFIDORES Y DEODIFIDORES La codificación y decodificación es el proceso de asignar a cada entrada una combinación única de bits. ODIFIDOR (ENODER) Son circuitos combinacionales

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

Tema 4: Circuitos combinacionales

Tema 4: Circuitos combinacionales Estructura de computadores Tema 4: Circuitos combinacionales Tema 4: Circuitos combinacionales 4.0 Introducción Los circuitos lógicos digitales pueden ser de dos tipos: combinacionales secuenciales. Circuitos

Más detalles

Tema 3: Sistemas Combinacionales

Tema 3: Sistemas Combinacionales Ejercicios T3: Sistemas Combinacionales Fundamentos de Tecnología de Computadores Tema 3: Sistemas Combinacionales 1. Analizar el siguiente circuito indicando la expresión algebraica que implementa, la

Más detalles

Ejercicios. Arquitectura de Computadoras. José Garzía

Ejercicios. Arquitectura de Computadoras. José Garzía jercicios de rquitectura de Computadoras José Garzía n la figura se representa el diagrama de flujo de un algoritmo. B X Y +B í + 7=? No B B+ C +B Los registros, B y C tienen una longitud de 8 bits. 7

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

Circuitos Digitales CON José Manuel Ruiz Gutiérrez

Circuitos Digitales CON José Manuel Ruiz Gutiérrez Circuitos Digitales CON José Manuel Ruiz Gutiérrez j.m.r.gutierrez@gmail.com PRÁCTICAS DE CIRCUITOS DIGITALES Circuitos digitales básicos 1. Simulación de operadores lógicos básicos. Realizar la simulación

Más detalles

Práctica 2 Comprobación de códigos binarios detectores y correctores de errores

Práctica 2 Comprobación de códigos binarios detectores y correctores de errores Práctica 2 Comprobación de códigos binarios detectores y correctores de errores Descripción de la práctica: -La práctica consiste en la simulación de una transmisión de palabras BCD enviadas con código

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

Tabla de verdad. La función lógica es aquella que relaciona las entradas y salidas de un circuito lógico. Puede expresarse mediante:

Tabla de verdad. La función lógica es aquella que relaciona las entradas y salidas de un circuito lógico. Puede expresarse mediante: T-2 Álgebra de oole. ógica combinacional TM - 2 ÁGR D OO. ÓGI OMINION. l control digital, y en particular el binario, está presente en todos los campos de la vida, desde los sistemas de refrigeración hasta

Más detalles

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL EXTRAORDINARIO. 25 JUNIO 2 TIPO TEST (CORRECTA,6 PUNTOS, ERRÓNEA, -,2 PUNTOS) TIEMPO: 2 HORAS 3 MINUTOS SOLUCIÓN 1. Un ordenador utiliza palabras

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

CIRCUITOS COMBINACIONALES

CIRCUITOS COMBINACIONALES Escuela Universitaria de Ingeniería Técnica Industrial de Bilbao Universidad del País Vasco / Euskal Herriko Unibertsitatea ELECTRONICA INDUSTRIAL CIRCUITOS COMBINACIONALES SANCHEZ MORONTA, M - UGALDE

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Aritmética Binaria. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid

Aritmética Binaria. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid Aritmética Binaria Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Índice Representación de números con signo Sistemas de Signo y Magnitud, Complemento a

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2009 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Circuitos Combinacionales MSI 1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Los sistemas combinacionales son aquellos en los que las salidas dependen exclusivamente de las entradas, luego para una

Más detalles

Circuitos lógicos combinacionales. Tema 6

Circuitos lógicos combinacionales. Tema 6 Circuitos lógicos combinacionales Tema 6 Qué sabrás al final del capítulo? Implementar funciones con dos niveles de puertas lógicas AND/OR OR/AND NAND NOR Analizar sistemas combinacionales, obteniendo

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos INDICE Prólogo XI 1. Operación del Computador 1 1.1. Calculadoras y Computadores 2 1.2. Computadores digitales electrónicos 5 1.3. Aplicación de los computadores a la solución de problemas 7 1.4. Aplicaciones

Más detalles

GUIA DE COMPONENTE PRACTICO

GUIA DE COMPONENTE PRACTICO GUIA DE COMPONENTE PRACTICO Con el propósito de fomentar el desarrollo de habilidades en el diseño e implementación física de circuitos digitales, se ha diseñado un componente práctico que será desarrollado

Más detalles

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial Boletín de Problemas de Circuitos Combinacionales Fundamentos de Electrónica 3º Curso Ingeniería Industrial 2 1. Utilizar el mapa de Karnaugh para implementar la forma suma de productos mínima de la función

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez 4.3. Diseño de circuitos combinacionales

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO I.-DATOS GENERALES SÍLABO CARRERA PROFESIONAL : INGENIERÍA ELECTRÓNICA Y CÓDIGO CARRERA PROFESIONAL : 29 ASIGNATURA : CÓDIGO DE ASIGNATURA : 2902-29213 CÓDIGO DE SÍLABO : 2921330072014 Nº DE HORAS TOTALES

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES RQUITECTURS ESPECILES Página Página 2 DECODIFICDOR Es un circuito combinacional, cuya función es la de convertir un código binario de entrada (natural, CD, etc.) de N bits de entrada a M líneas de salida

Más detalles

Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica

Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica Programa de la asignatura: IEM-920 ELECTRONICA DIGITAL Total de Créditos:

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa Decodificadores y Demultiplexores Pedro Fernández Ignacio de la Rosa Decodificadores El trabajo de un decodificador, es recibir como entradas códigos en binario (N bits) y activar una de las M salidas,

Más detalles

UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA

UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA DEPARTAMENTO ACADEMICO ELECTRICIDAD Y ELETRONICA TEMA :

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

ELECTRÓNICA DIGITAL 3..0] 3..0] U 3 D 1 C K B C D [ U 4 B C 4 A

ELECTRÓNICA DIGITAL 3..0] 3..0] U 3 D 1 C K B C D [ U 4 B C 4 A ELECTRÓNICA DIGITAL C L O C K E N A B L E C L E A R B C D [ 3..0] B C D [ 3..0] B C D 3 B C D 0 B C D 2 B C D 1 B C D 3 B C D 0 B C D 1 B C D 2 B C D 0 B C D 1 B C D 2 B C D 3 B C D 2 B C D 3 B C D 0 B

Más detalles

Introducción a los Sistemas Digitales

Introducción a los Sistemas Digitales Tema Sistema Estructura y comportamiento Señal analógica y señal digital Señal binaria Sistemas de numeración Representación de números enteros Signo-magnitud Complemento a dos Codificación Códigos numéricos

Más detalles

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario. PUERTAS LOGICAS Son bloques de construcción básica de los sistemas digitales; operan con números binarios, por lo que se denominan puertas lógicas binarias. En los circuitos digitales todos los voltajes,

Más detalles

CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS LABORATORIO # 6 Realización: 26-05-2011 CIRCUITOS ARITMÉTICOS 1. OBJETIVOS Comprender los circuitos aritméticos dentro de la lógica binaria Utilizar sumadores totales de cuatro bits dentro de un Circuito

Más detalles

38.1. Principios de electrónica digital. 38.1.1. Sistemas digitales y analógicos

38.1. Principios de electrónica digital. 38.1.1. Sistemas digitales y analógicos Tema 8. Principios de electrónica digital. Álgebra de Boole. Puertas lógicas. Funciones básicas combinacionales: decodificadores, codificadores, multiplexores y otras. Simbología, tipología, función y

Más detalles

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos:

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos: Funciones incompletas Son funciones cuyo valor puede ser indistintamente 0 ó 1 para algunas combinaciones de las variables de entrada, bien porque dichas combinaciones no vayan a darse nunca en la práctica

Más detalles

I.P.E.T. Nº49 -"Domingo Faustino Sarmiento"-Villa Maria - Córdoba Electrónica Digital II 5to Año Electrónica Año 2013

I.P.E.T. Nº49 -Domingo Faustino Sarmiento-Villa Maria - Córdoba Electrónica Digital II 5to Año Electrónica Año 2013 PLANIFICACIÓN DE: ELECTRÓNICA DIGITAL II CURSO: QUINTO AÑO - ELECTRÓNICA AÑO LECTIVO: 2013 HORAS SEMANALES: 4 (CUATRO) PROFESOR: INGENIERO JOSÉ MARIA GUTIÉRREZ OBJETIVOS GENERALES Reconocer y manejar los

Más detalles

SELECCIÓN DE PROBLEMAS

SELECCIÓN DE PROBLEMAS SELECCIÓN DE PROBLEMAS 1. Representación numérica 1.1. Convertir a hexadecimal y a binario las siguientes cantidades: a) 757.25 10 b) 123.17 10 1.2. Se dispone de palabras de 10 bits. Representar mediante

Más detalles

Análisis de circuitos combinacionales MSI

Análisis de circuitos combinacionales MSI Análisis de circuitos combinacionales MSI En esta unidad aprenderás a: Identificar y caracterizar las funciones digitales más relevantes de carácter combinacional. Analizar funciones y circuitos combinacionales,

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2012 Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América)

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) FACULTAD DE INGENIERIA DE SISTEMAS E INFORMATICA Escuela Académico Profesional de Ingeniería de Sistemas SILABO 1. ESPECIFICACIONES

Más detalles

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 CAPÍTULO 1. FUNDAMENTOS GENERALES DE LA ELECTRÓNICA GENERAL...35 1.1 SISTEMAS ANALÓGICOS Y DIGITALES...36

Más detalles

CIRCUITOS DIGITALES -

CIRCUITOS DIGITALES - CIRCUITOS DIGITALES - INTRODUCCIÓN CIRCUITOS DIGITALES CIRCUITOS DIGITALES SON LOS QUE COMUNICAN Y PROCESAN INFORMACIÓN DIGITAL SEÑAL DIGITAL: SOLO PUEDE TOMAR UN NÚMERO FINITO DE VALORES. EN BINARIO:

Más detalles

Pontificia Universidad Católica del Ecuador

Pontificia Universidad Católica del Ecuador DATOS INFORMATIVOS: MATERIA O MÓDULO: ELECTROLOGIA Y CIRCUITOS LOGICOS CÓDIGO: CARRERA: NIVEL: INGENIERIA DE SISTEMAS SEGUNDO No. CRÉDITOS: 6 CRÉDITOS TEORÍA: 4 CRÉDITOS PRÁCTICA: 2 SEMESTRE / AÑO ACADÉMICO:

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

PROBLEMAS DE ELECTRÓNICA DIGITAL. Simplificación por Karnaugh: CIRCUITO LÓGICO:

PROBLEMAS DE ELECTRÓNICA DIGITAL. Simplificación por Karnaugh: CIRCUITO LÓGICO: PROBLEMAS DE ELECTRÓNICA DIGITAL.- Un contactor R para el accionamiento de un motor eléctrico, está gobernado por la acción combinada de tres finales de carrera A, B y C. Para que el motor pueda funcionar,

Más detalles

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI Guía de preparación para el examen ELECTRONICA CxTx En esta materia básicamente se evalúan temas tales como son: MULTIVIBRADORES, MEMORIAS, CONTADORES Y COMPUERTAS LOGICAS, SUMADOR RESTADOR Y MICROPOCESADORES

Más detalles

Sistemas informáticos industriales. Algebra de Boole

Sistemas informáticos industriales. Algebra de Boole Sistemas informáticos industriales 2016 lgebra de oole lgebra oole Se denomina así en honor a George oole (1815-1864). El algebra de oole se emplea en sistema de control digitales, desde los sistemas de

Más detalles

LABORATORIO DE COMPUTADORAS

LABORATORIO DE COMPUTADORAS TP 1 LABORATORIO DE COMPUTADORAS Facultad de Ingeniería. UNJu Tema: Sistemas Numéricos y Diseño Combinacional y Secuencial Apellido y Nombre: LU: Carrera: Fecha: 2013 EJEMPLOS Estándar IEEE 754 El estándar

Más detalles

FORMATO DE CONTENIDO DE CURSO

FORMATO DE CONTENIDO DE CURSO PÁGINA: 1 de 8 FACULTAD DE.CIENCIAS BÁSICAS PROGRAMA DE: FÍSICA PLANEACIÓN DEL CONTENIDO DE CURSO 1. IDENTIFICACIÓN DEL CURSO NOMBRE : ELECTRÓNICA II CÓDIGO : 210080 SEMESTRE : VII NUMERO DE CRÉDITOS :

Más detalles

ESCUELA DE INGENIERÍA TÉCNICA INDUSTRIAL DE HUELVA SISTEMAS DIGITALES. Programa de la asignatura optativa. Curso 2001 2002

ESCUELA DE INGENIERÍA TÉCNICA INDUSTRIAL DE HUELVA SISTEMAS DIGITALES. Programa de la asignatura optativa. Curso 2001 2002 SISTEMAS DIGITALES Programa de la asignatura optativa Curso 2001 2002 Huelva, 8 de Octubre de 2001 SISTEMAS DIGITALES OPTATIVA DE ELECTRICIDAD. Curso Académico 2001/02. TITULACIÓ N: Ingeniero Técnico Industrial

Más detalles

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios.

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios. 1. DATOS DE LA ASIGNATURA Nombre de la asignatura Carrera Clave de la asignatura Horas teoría-horas práctica-créditos Electrónica II Electromecánica EMM-0516 3-2-8 2. HISTORIA DEL PROGRAMA Lugar y fecha

Más detalles

Control y programación de sistemas automáticos: Circuitos Combinacionales

Control y programación de sistemas automáticos: Circuitos Combinacionales Control y programación de sistemas automáticos: Circuitos Combinacionales Hemos estado estudiando anteriormente las características generales de los circuitos digitales y hemos presentado un protocolo

Más detalles

Por ejemplo, los números binarios sin signo que se pueden construir con 4 bits son: bit más significativo more significant bit (msb)

Por ejemplo, los números binarios sin signo que se pueden construir con 4 bits son: bit más significativo more significant bit (msb) istema binario Un sistema binario utiliza únicamente dos símbolos para representar la información. Comúnmente los símbolos usados son los dígitos y 1, por eso reciben el nombre de dígitos binarios (binary

Más detalles

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Electrónica Básica Aritmética Binaria Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC ARITMÉTICA BINARIA Operaciones en el sistema Binario Natural Suma Binaria

Más detalles

T6. CIRCUITOS ARITMÉTICOS

T6. CIRCUITOS ARITMÉTICOS T6. CIRCUITOS ARITMÉTICOS Circuitos Aritméticos Son dispositivos MSI que pueden realizar operaciones aritméticas (suma, resta, multiplicación y división) con números binarios. De todos los dispositivos,

Más detalles

Naturaleza binaria. Conversión decimal a binario

Naturaleza binaria. Conversión decimal a binario Naturaleza binaria En los circuitos digitales sólo hay 2 voltajes. Esto significa que al utilizar 2 estados lógicos se puede asociar cada uno con un nivel de tensión, así se puede codificar cualquier número,

Más detalles

3. Prácticas: Simplificación de funciones

3. Prácticas: Simplificación de funciones 3. Prácticas: Simplificación de funciones I. Ejercicios teóricos 1. Representar en un mapa de Karnaugh la siguiente función 2. Representar en un mapa de Karnaugh la siguiente función 3. Representar en

Más detalles

Electrónica Digital - Guión

Electrónica Digital - Guión Electrónica Digital - Guión 1. Introducción. 2. El álgebra de Boole. 3. Propiedades del álgebra de Boole. 4. Concepto de Bit y Byte. 5. Conversión del sistema decimal en binario y viceversa. 6. Planteamiento

Más detalles

Modelo de examen tipo resuelto 1

Modelo de examen tipo resuelto 1 Modelo de examen tipo resuelto. Diseñar un sistema combinacional que tenga cinco entradas y dos salidas y que actúe de la siguiente forma: las cinco entradas (x 4 x 3 x 2 x x 0 ) representan una palabra

Más detalles

ASIGNATURA: ARQUITECTURA DE COMPUTADORAS PROFRA. ING. ROCÍO ROJAS MUÑOZ

ASIGNATURA: ARQUITECTURA DE COMPUTADORAS PROFRA. ING. ROCÍO ROJAS MUÑOZ ASIGNATURA: ARQUITECTURA DE COMPUTADORAS PROFRA. ING. ROCÍO ROJAS MUÑOZ Sistemas Numéricos 1.-Sistema Numérico. a) Definición: Llamaremos sistema numéricos base M el conjunto de M símbolos que nos sirven

Más detalles

Sistemas de numeración

Sistemas de numeración Sistemas de numeración Sistema binario 0,1 Sistema octal 0, 1, 2, 3, 4, 5, 6, 7 Sistema decimal 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Sistema hexadecimal 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F Una señal

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1, Segundo Semestre

Más detalles

DISEÑO COMBINACIONAL

DISEÑO COMBINACIONAL DISEÑO COMBINACIONAL Asignatura: DIGITAL I Carrera: Ingeniería Electrónica Facultad de Ciencias Exactas, Ingeniería y Agrimensura Universidad Nacional de Rosario Año 2011 DISEÑO LÓGICO RESOLUCIÓN DE PROBLEMAS

Más detalles

TEMA 1: Control y programación de sistemas automáticos

TEMA 1: Control y programación de sistemas automáticos Esquema: TEMA : Control y programación de sistemas automáticos TEMA : Control y programación de sistemas automáticos....- Introducción.....- Representación de las señales digitales...2 2.- Sistemas de

Más detalles

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950).

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Código binario en Sistemas Digitales Historia Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Circuitos integrados

Más detalles

Representación de la Información en los Sistemas Digitales

Representación de la Información en los Sistemas Digitales Representación de la Información en los Sistemas Digitales Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Introducción a los computadores Computador: Máquina

Más detalles

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI NOMBRE DE LA ASIGNATURA: ARQUITECTURA DE COMPUTADORAS FECHA DE ELABORACIÓN: ENERO 2005 ÁREA DEL PLAN DE ESTUDIOS: AS (

Más detalles