UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL

Tamaño: px
Comenzar la demostración a partir de la página:

Download "UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL"

Transcripción

1 TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL EXTRAORDINARIO. 25 JUNIO 2 TIPO TEST (CORRECTA,6 PUNTOS, ERRÓNEA, -,2 PUNTOS) TIEMPO: 2 HORAS 3 MINUTOS SOLUCIÓN 1. Un ordenador utiliza palabras de 32 bits. Cuántos números enteros en formato decimal puede representar cada palabra en (1) BCD y en (2) ASCII de 8 bits? No considere signo. a) (1) 2 32 y (2) 2 8 b) (1) 8 y (2) 4 c) (1) 2 8 y (2) 2 4 d) (1) 8 y (2) 4 2. Qué tipo de biestable implementa el circuito de la figura? A Q CK B a) RS (A=R, B=S) b) RS (A=S, B=R) c) JK (A=J, B=K) d) JK (A=K, B=J) CUESTIONES BREVES 1. Implemente un demultiplexor (1 a 8) utilizando un codificador con Enable del tamaño que estime oportuno y las puertas lógicas que necesite. Justifique su respuesta (,8 puntos). Un codificador 3x8 en el que consideremos la señal Enable como la entrada de datos se comporta directamente como un demultiplexor 1x8. Las tres entradas del codificador serían las 3 señales de control.

2 2. En la figura se representa el diagrama de bloques del sistema de encendido y apagado de un proyector de vídeo. La señal S (Start/Stop) debe poner en marcha tanto la bombilla de proyección (L) como el ventilador (V) que protege su funcionamiento. Cuando esta señal se desactiva, se apaga la luz pero el ventilador debe seguir encendido durante 2 minutos para asegurar el adecuado enfriamiento de la bombilla. Para ello se introduce el módulo temporizador, cuyo diseño está fuera del alcance de este problema, y que funciona capturando los cambios de la señal S de la siguiente forma: Si S pasa de a 1, el temporizador (T) permanece inactivo. Si S pasa de 1 a, el temporizador (T) se activa, permaneciendo así 2 minutos, pasados los cuales se desactiva. Teniendo en cuenta el funcionamiento descrito, diseñe el bloque combinacional (L/C) utilizando el menor número de puertas lógicas. (1, punto). S L Temp. T L/C V S T L V 1 1 L = S V= S + T X X

3 PROBLEMA Nº 1 En un grupo de gente se analizan los grupos sanguíneos existentes para establecer la compatibilidad donante-receptor. Los resultados muestran que los grupos existentes son el O-, AB+, AB- y B-. Se debe diseñar un circuito combinacional capaz de proporcionar una salida F que indique la compatibilidad entre un donante y un receptor. Las entradas del circuito combinacional son el grupo sanguíneo del donante (que se debe codificar con 2 bits D1 y D) y el grupo sanguíneo del receptor (que se debe codificar con 2 bits R1 y R). Para establecer la compatibilidad se sabe que: Una persona del grupo - puede ser donante para un receptor de cualquier grupo, pero sólo puede ser receptor de un donante -. Una persona del grupo AB+ solo puede ser donante para un receptor del grupo AB+, pero puede ser receptor de un donante de cualquier grupo. Una persona del grupo AB- puede ser donante para un receptor de los grupos AB- o AB+, y puede ser receptor de un donante -, B- y AB-. Una persona del grupo B- puede ser donante para un receptor de los grupos B-, AB- y AB+, y puede ser receptor de un donante - o B-. a) Definir la codificación de los grupos sanguíneos tanto del donante como del receptor (,4 puntos). b) Encontrar la función lógica simplificada que proporciona la salida F para establecer la compatibilidad donante-receptor mediante suma de productos (1, punto). c) Dibujar el circuito combinacional resultante mediante puertas lógicas (,6 puntos). a) Grupo Donante Receptor sanguíneo D1 D R1 R O- AB+ 1 1 AB- 1 1 B

4 b) D1 D R1 R F D1 D 1 11 R1 R c) F D1 D R1 R D1 R1 R D1 D R1

5 PROBLEMA Nº 2 Se desea diseñar un circuito secuencial que controle el sintonizador de un televisor que permite ver tanto canales analógicos como digitales. El sintonizador dispone de 3 canales digitales numerados de 1 a 3, y de 3 canales analógicos, numerados también de 1 a 3. El circuito secuencial tendrá dos entradas binarias E 1 y E que estarán normalmente a, salvo cuando se pulse en el mando a distancia una tecla de 1 a 3, para elegir algún canal de los 3 posibles, en cuyo caso se pondrán respectivamente a 1, y 11 durante un tiempo suficiente para que la entrada le llegue al circuito secuencial diseñado. También dispondrá de tres salidas F 2, F 1 y F que funcionarán de la forma siguiente: Si F 2 es se le indicará al sintonizador que el canal es analógico, mientras que si F 2 es 1, se le indicará que el canal es digital. El número de canal, tanto si es analógico como si es digital, se expresará con las salidas F 1 F en binario, siendo F1 el bit más significativo. Obsérvese que no existe el canal. F 2 E 1 E CIRCUITO SECUENCIAL A DISEÑAR F 1 F SINTONIZADOR TELEVISOR El sistema se inicializará siempre con el canal 1 analógico, pero una vez en él se puede cambiar a cualquier otro canal analógico simplemente pulsando la tecla correspondiente. En caso de pulsar la tecla del mismo canal en que se está en el momento, se cambia de analógico a digital o viceversa en el mismo número de canal. Por ejemplo, si se está viendo el canal 2 digital y se pulsa la tecla 2, se pasa al canal 2 analógico. Además, ésta es la única forma de cambiar de sistema (analógico digital). Se pide: a) Diagrama de estados (,6 puntos). b) Tabla de verdad usando los biestables indicados (1, punto). c) Implementación de la excitación del biestable 2 con un MUX 8x1 (,4 puntos). d) Implementación de la excitación del biestable 1 con un DEC 4x16 (,4 puntos). e) Implementación de la excitación del biestable con puertas lógicas (,3 puntos cada función). NOTA: Es necesario respetar los siguientes criterios: En la definición de estados, usar la siguiente notación: q nx siendo n un número de 1 a 3, ambos incluidos, que expresa el número de canal, y haciendo X=A para referirse a canales analógicos y X=D para referirse a canales digitales. Por ejemplo, el canal 2 analógico se representaría q 2A. En la codificación de estados, usar el biestable más significativo Q 2 para codificar si el canal es analógico o digital, con valores respectivos y 1, y el resto de biestables para codificar en binario el número de canal, haciendo coincidir el número de canal con su representación binaria. Es decir, el canal 2, por ejemplo, se representaría con Q 1 Q =.

6 Tal como se desprende del enunciado, la definición y codificación de estados, no pedida, pero necesaria para realizar el problema, es: Q 2 Q 1 Q Significado F 2 F 1 F No usado q 1A 1 Canal 1 analógico 1 q 2A 1 Canal 2 analógico 1 q 3A 1 1 Canal 3 analógico No usado q 1D 1 1 Canal 1 digital 1 1 q 2D 1 1 Canal 2 digital 1 1 q 3D Canal 3 digital 1 1 a) Diagrama de estados. Al ser un autómata de Moore, no se escribe la salida, ya que está asociada al estado, según se observa en la tabla anterior. 1 q 1A q 1D q 2A q 2D q 3A q 3D

7 b) TABLA PARA RESPONDER AL APARTADO B DEL PROBLEMA NÚMERO 2 ENTRADAS ESTADOS ACTUALES ESTADOS PRÓXIMOS SALIDAS EXCITACIÓN BIESTABLES E 1 E Q 2(t) Q 1(t) Q (t) Q 2(t+1) Q 1(t+1) Q (t+1) F 2 F 1 F D 2 T 1 J K X X X X X X X X X X X X X 1 X X X X X X X X X X X X X 1 X X X X X X X X X X X X X 1 1 X X X X X X X X X X X X X 1 X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X

8 c) Implementación de la excitación del biestable 2 1 Q 1 Q 1 Q Q Q 1 Q MUX 8x1 D 2 Q 1 Q E 1 E Q 2 d) Implementación de la excitación del biestable 1 Q 1 Q 2 E E PUERTA 8 DEC 4x16 T OR e) Implementación de la excitación del biestable X X X X X X X X X X X X X 1 1 X X X X X X X X X X 1 1 X X X X X X X X X X X X X X 1 1 X X 1 1 X J = E K = E 1 E

Circuitos Digitales CON José Manuel Ruiz Gutiérrez

Circuitos Digitales CON José Manuel Ruiz Gutiérrez Circuitos Digitales CON José Manuel Ruiz Gutiérrez j.m.r.gutierrez@gmail.com PRÁCTICAS DE CIRCUITOS DIGITALES Circuitos digitales básicos 1. Simulación de operadores lógicos básicos. Realizar la simulación

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

Modelo de examen tipo resuelto 1

Modelo de examen tipo resuelto 1 Modelo de examen tipo resuelto. Diseñar un sistema combinacional que tenga cinco entradas y dos salidas y que actúe de la siguiente forma: las cinco entradas (x 4 x 3 x 2 x x 0 ) representan una palabra

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

SOLUCION Examen final IC parte B

SOLUCION Examen final IC parte B SOLUCION Examen final IC parte B Duración de esta parte del examen: 2 horas. Presentarse a este examen significa renunciar a la nota de evaluación continua de los objetivos de nivel B. Cada ejercicio se

Más detalles

Cualquier número de cualquier base se puede representar mediante la siguiente ecuación polinómica:

Cualquier número de cualquier base se puede representar mediante la siguiente ecuación polinómica: SISTEMAS DE NUMERACIÓN Los números se pueden representar en distintos sistemas de numeración que se diferencian entre si por su base. Así el sistema de numeración decimal es de base 10, el binario de base

Más detalles

EJERCICIOS RESUELTOS DE SECUENCIALES

EJERCICIOS RESUELTOS DE SECUENCIALES EJERCICIOS RESUELTOS DE SECUENCIALES 1) El sistema de apertura de una caja fuerte está compuesto por dos teclas A y B, un circuito secuencial a diseñar y un temporizador que mantiene la caja fuerte abierta

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ ELECTRÓNICA DIGITAL DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ IES TRINIDAD ARROYO DPTO. DE ELECTRÓNICA ÍNDICE ÍNDICE... 1 1. LIMITACIONES DE LOS CONTADORES ASÍNCRONOS... 2 2. CONTADORES SÍNCRONOS...

Más detalles

TEMA 3: IMPLEMENTACIÓN DE CIRCUITOS COMBINACIONALES CON PUERTAS LÓGICAS.

TEMA 3: IMPLEMENTACIÓN DE CIRCUITOS COMBINACIONALES CON PUERTAS LÓGICAS. TEM 3: IMPLEMENTCIÓN DE CIRCUITOS COMBINCIONLES CON PUERTS LÓGICS. 3.1. Representación de funciones: mapas de Karnaugh de hasta 5 variables. El Mapa de Karnaugh es una representación gráfica de una función

Más detalles

CIRCUITOS DIGITALES -

CIRCUITOS DIGITALES - CIRCUITOS DIGITALES - INTRODUCCIÓN CIRCUITOS DIGITALES CIRCUITOS DIGITALES SON LOS QUE COMUNICAN Y PROCESAN INFORMACIÓN DIGITAL SEÑAL DIGITAL: SOLO PUEDE TOMAR UN NÚMERO FINITO DE VALORES. EN BINARIO:

Más detalles

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950).

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Código binario en Sistemas Digitales Historia Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Circuitos integrados

Más detalles

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial Boletín de Problemas de Circuitos Combinacionales Fundamentos de Electrónica 3º Curso Ingeniería Industrial 2 1. Utilizar el mapa de Karnaugh para implementar la forma suma de productos mínima de la función

Más detalles

EXAMEN DE SEPTIEMBRE DE CIRCUITOS ELECTRÓNICOS. CURSO 2007/08. PROBLEMA DEL PRIMER PARCIAL

EXAMEN DE SEPTIEMBRE DE CIRCUITOS ELECTRÓNICOS. CURSO 2007/08. PROBLEMA DEL PRIMER PARCIAL EXAMEN DE SEPTIEMBRE DE CIRCUITOS ELECTRÓNICOS. CURSO 27/8. PROBLEMA DEL PRIMER PARCIAL Se desea diseñar un sistema para jugar a Piedra, papel o tijera. Como se sabe, en este juego cada uno de los dos

Más detalles

1. SISTEMAS DIGITALES

1. SISTEMAS DIGITALES 1. SISTEMAS DIGITALES DOCENTE: ING. LUIS FELIPE CASTELLANOS CASTELLANOS CORREO ELECTRÓNICO: FELIPECASTELLANOS2@HOTMAIL.COM FELIPECASTELLANOS2@GMAIL.COM PAGINA WEB MAESTROFELIPE.JIMDO.COM 1.1. INTRODUCCIÓN

Más detalles

FORMATO DE CONTENIDO DE CURSO

FORMATO DE CONTENIDO DE CURSO PÁGINA: 1 de 8 FACULTAD DE.CIENCIAS BÁSICAS PROGRAMA DE: FÍSICA PLANEACIÓN DEL CONTENIDO DE CURSO 1. IDENTIFICACIÓN DEL CURSO NOMBRE : ELECTRÓNICA II CÓDIGO : 210080 SEMESTRE : VII NUMERO DE CRÉDITOS :

Más detalles

3. Transforma los siguientes cronogramas en tablas de verdad. (E=Entrada, S=Salida). a) b)

3. Transforma los siguientes cronogramas en tablas de verdad. (E=Entrada, S=Salida). a) b) EJERCICIOS ELECTRÓNICA DIGITAL 1. Transforma los siguientes números al sistema binario: a. 21 b. 112 c. 37 d. 529 e. 61 f. 214 g. 232 h. 28 2. Transforma los siguientes números binarios a decimales: a.

Más detalles

Tema 4: Circuitos combinacionales

Tema 4: Circuitos combinacionales Estructura de computadores Tema 4: Circuitos combinacionales Tema 4: Circuitos combinacionales 4.0 Introducción Los circuitos lógicos digitales pueden ser de dos tipos: combinacionales secuenciales. Circuitos

Más detalles

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Se pretende realizar el circuito lógico interno de una máquina tragaperras de tres ruletas. El sistema completo tiene un esquema como el

Más detalles

ELECTRONICS WORKBENCH

ELECTRONICS WORKBENCH PRÁCTICA 3: SIMULACIÓN DE CIRCUITOS COMBINACIONALES CON ELECTRONICS WORKBENCH Ingeniería Técnica en Informática de Sistemas. Miguel Martínez Iniesta Juan Antonio Ruiz Palacios DESARROLLO DE LA PRÁCTICA

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

AUTOMATIZACIÓN INDUSTRIAL

AUTOMATIZACIÓN INDUSTRIAL 2º I.T.I. Electrónica Industrial AUTOMATIZACIÓN INDUSTRIAL PRÁCTICAS PRÁCTICA 1 El objetivo que se pretende con esta práctica es la familiarización con el autómata Simatic S7-200 así como con el programa

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS Fundamentos de Computadores. Sistemas Secuenciales. T7-1 INDICE: Tema 7. SISTEMAS SECUENCIALES INTRODUCCIÓN SISTEMAS SECUENCIALES SÍNCRONOS TIPOS DE BIESTABLES o TABLAS DE ECITACIÓN DE LOS BIESTABLES o

Más detalles

Sumador: C o. C in. Sumador serie: Sumador paralelo con propagación de arrastre:

Sumador: C o. C in. Sumador serie: Sumador paralelo con propagación de arrastre: UNIDAD ARITMETICO-LOGICA Conceptos Unidad aritmético-lógica: Elemento que realiza las operaciones aritméticas y lógicas entre los datos Operaciones típicas Sumar Restar Multiplicar Desplazamiento de registros

Más detalles

ELECTRÓNICA DIGITAL. Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos:

ELECTRÓNICA DIGITAL. Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos: ELECTRÓNICA DIGITAL INDICE 1. TIPOS DE SEÑALES... 3 1.1. SEÑALES ANALÓGICAS... 3 1.2. SEÑALES DIGITALES... 3 2. REPRESENTACIÓN DE LAS SEÑALES DIGITALES... 3 2.1. CRONOGRAMAS... 3 2.2. TABLA DE VERDAD...

Más detalles

Representación de Datos. Representación de datos numéricos. Representación de datos caracteres. Representación de otros tipos de datos

Representación de Datos. Representación de datos numéricos. Representación de datos caracteres. Representación de otros tipos de datos Representación de Datos Representación de datos numéricos Representación de datos caracteres Representación de otros tipos de datos Sistemas de números Base Esquema posicional => N = n4 * b4 + n3 * b3

Más detalles

Diapositiva 1. Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas.

Diapositiva 1. Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas. Diapositiva 1 Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas. Diapositiva 2 Diapositiva 3 Diapositiva 4 En los circuitos digitales la información

Más detalles

El álgebra booleana (Algebra de los circuitos lógicos tiene muchas leyes o teoremas muy útiles tales como :

El álgebra booleana (Algebra de los circuitos lógicos tiene muchas leyes o teoremas muy útiles tales como : SIMPLIFICACION DE CIRCUITOS LOGICOS : Una vez que se obtiene la expresión booleana para un circuito lógico, podemos reducirla a una forma más simple que contenga menos términos, la nueva expresión puede

Más detalles

Puertas Lógicas. Contenidos. Objetivos

Puertas Lógicas. Contenidos. Objetivos Contenidos Objetivos En esta quincena aprenderás a: Implementar funciones mediante puertas lógicas. Conocer y manejar la simbología de las puertas lógicas. Construir circuitos lógicos en el programa simulador

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informática de Sistemas

Más detalles

INFORMÁTICA. Práctica 5. Programación en C. Grado en Ingeniería en Electrónica y Automática Industrial. Curso 2013-2014. v1.0 (05.03.

INFORMÁTICA. Práctica 5. Programación en C. Grado en Ingeniería en Electrónica y Automática Industrial. Curso 2013-2014. v1.0 (05.03. INFORMÁTICA Práctica 5. Programación en C. Grado en Ingeniería en Electrónica y Automática Industrial Curso 2013-2014 v1.0 (05.03.14) A continuación figuran una serie de ejercicios propuestos, agrupados

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

1 1 0 1 x 1 0 1 1 1 1 0 1 + 1 1 0 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1

1 1 0 1 x 1 0 1 1 1 1 0 1 + 1 1 0 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 5.1.3 Multiplicación de números enteros. El algoritmo de la multiplicación tal y como se realizaría manualmente con operandos positivos de cuatro bits es el siguiente: 1 1 0 1 x 1 0 1 1 1 1 0 1 + 1 1 0

Más detalles

CAPITULO II SISTEMAS DE NUMERACIÓN Y CÓDIGOS

CAPITULO II SISTEMAS DE NUMERACIÓN Y CÓDIGOS SISTEMA DE NUMERACIÓN Y CÓDIGOS CAPITULO II SISTEMAS DE NUMERACIÓN Y CÓDIGOS CÓDIGO Un código es un grupo de símbolos que representan algún tipo de información reconocible. En los sistemas digitales, los

Más detalles

DISEÑO DE CIRCUITOS LOGICOS COMBINATORIOS

DISEÑO DE CIRCUITOS LOGICOS COMBINATORIOS DISEÑO DE CIRCUITOS LOGICOS COMBINATORIOS Circuitos Combinacionales Un circuito combinacional es un circuito digital cuyas salidas, en un instante determinado son función, exclusivamente, de la combinación

Más detalles

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL ELECTRÓNICA DIGITAL La electrónica es la rama de la ciencia que se ocupa del estudio de los circuitos y de sus componentes, que permiten modificar la corriente eléctrica amplificándola, atenuándola, rectificándola

Más detalles

TITULACIÓN Ingeniero Técnico Industrial, Especialidad en Electrónica ASIGNATURAS DE SEGUNDO CURSO DEL PLAN 1999 ELECTRÓNICA DIGITAL PROGRAMA:

TITULACIÓN Ingeniero Técnico Industrial, Especialidad en Electrónica ASIGNATURAS DE SEGUNDO CURSO DEL PLAN 1999 ELECTRÓNICA DIGITAL PROGRAMA: TITULACIÓN Ingeniero Técnico Industrial, Especialidad en Electrónica ASIGNATURAS DE SEGUNDO CURSO DEL PLAN 1999 ELECTRÓNICA DIGITAL PROGRAMA: TEMA 1. INTRODUCCIÓN A LOS SISTEMAS DIGITALES. 1. Concepto

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Tema : ELECTRÓNICA DIGITAL

Tema : ELECTRÓNICA DIGITAL (La Herradura Granada) Departamento de TECNOLOGÍA Tema : ELECTRÓNICA DIGITAL.- Introducción. 2.- Representación de operadores lógicos. 3.- Álgebra de Boole. 3..- Operadores básicos. 3.2.- Función lógica

Más detalles

EJERCICIOS - Electrónica Digital

EJERCICIOS - Electrónica Digital 1- Convierte los siguientes números en base 10 a su correspondiente binario (base 2). a) 19 10 b) 25 10 c) 28 10 2 Convierte los siguientes números en base 2 a su correspondiente en base decimal (base

Más detalles

18. Camino de datos y unidad de control

18. Camino de datos y unidad de control Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 18. Camino de datos y unidad de control Un La versatilidad una característica deseable los Los

Más detalles

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente: Departamento de Ingeniería de Sistemas Facultad de Ingeniería Universidad de Antioquia Arquitectura de Computadores y Laboratorio ISI355 (2011 2) Práctica No. 1 Diseño e implementación de una unidad aritmético

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

Manual de USO de la Web CLUBTIENDAS.COM

Manual de USO de la Web CLUBTIENDAS.COM Manual de USO de la Web CLUBTIENDAS.COM 1 Índice 0. Creación de su cuenta...3 0.1. Acceder a la Web...4 0.2. Creación de una tienda nueva.......4 1. Acceso a la tienda.6 2. Menú principal de la Web.....6

Más detalles

TEMA 5. ELECTRÓNICA DIGITAL

TEMA 5. ELECTRÓNICA DIGITAL TEMA 5. ELECTRÓNICA DIGITAL 1. INTRODUCCIÓN Los ordenadores están compuestos de elementos electrónicos cuyas señales, en principio, son analógicas. Pero las señales que entiende el ordenador son digitales.

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES RQUITECTURS ESPECILES Página Página 2 DECODIFICDOR Es un circuito combinacional, cuya función es la de convertir un código binario de entrada (natural, CD, etc.) de N bits de entrada a M líneas de salida

Más detalles

Introducción a los Sistemas Digitales

Introducción a los Sistemas Digitales Tema Sistema Estructura y comportamiento Señal analógica y señal digital Señal binaria Sistemas de numeración Representación de números enteros Signo-magnitud Complemento a dos Codificación Códigos numéricos

Más detalles

Nombre del estudiante: Grimaldo velazquez Rafael. Herrera Díaz Jefree. Campus: san Rafael

Nombre del estudiante: Grimaldo velazquez Rafael. Herrera Díaz Jefree. Campus: san Rafael Nombre del estudiante: Grimaldo velazquez Rafael Herrera Díaz Jefree Campus: san Rafael Carrera /Prepa: ingeniería en sistemas computacionales Introducción. Como en mecánica la conmutación electrónica

Más detalles

28 = 16 + 8 + 4 + 0 + 0 = 11100 1

28 = 16 + 8 + 4 + 0 + 0 = 11100 1 ELECTRÓNICA DIGITAL 4º ESO Tecnología Introducción Imaginemos que deseamos instalar un sistema electrónico para la apertura de una caja fuerte. Para ello debemos pensar en el número de sensores que nos

Más detalles

!!!!!!!! !!!!! Práctica!4.! Programación!básica!en!C.! ! Grado!en!Ingeniería!!en!Electrónica!y!Automática!Industrial! ! Curso!2015H2016!

!!!!!!!! !!!!! Práctica!4.! Programación!básica!en!C.! ! Grado!en!Ingeniería!!en!Electrónica!y!Automática!Industrial! ! Curso!2015H2016! INFORMÁTICA Práctica4. ProgramaciónbásicaenC. GradoenIngenieríaenElectrónicayAutomáticaIndustrial Curso2015H2016 v2.1(18.09.2015) A continuación figuran una serie de ejercicios propuestos, agrupados por

Más detalles

Capítulo 20: Bases de numeración

Capítulo 20: Bases de numeración Capítulo 20: Bases de numeración 20 Presentación preliminar de bases de numeración... 344 Introducción y conversión de bases de numeración... 345 Operaciones matemáticas con números binarios o hexadecimales...

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

Sistemas de numeración, operaciones y códigos.

Sistemas de numeración, operaciones y códigos. Tema : Sistemas de numeración, operaciones y códigos. Para representar ideas, los seres humanos (al menos los occidentales) utilizamos cadenas de símbolos alfanuméricos de un alfabeto definido. En el mundo

Más detalles

T6. CIRCUITOS ARITMÉTICOS

T6. CIRCUITOS ARITMÉTICOS T6. CIRCUITOS ARITMÉTICOS Circuitos Aritméticos Son dispositivos MSI que pueden realizar operaciones aritméticas (suma, resta, multiplicación y división) con números binarios. De todos los dispositivos,

Más detalles

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos:

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos: Funciones incompletas Son funciones cuyo valor puede ser indistintamente 0 ó 1 para algunas combinaciones de las variables de entrada, bien porque dichas combinaciones no vayan a darse nunca en la práctica

Más detalles

Unidad Didáctica. Códigos Binarios

Unidad Didáctica. Códigos Binarios Unidad Didáctica Códigos Binarios Programa de Formación Abierta y Flexible Obra colectiva de FONDO FORMACION Coordinación Diseño y maquetación Servicio de Producción Didáctica de FONDO FORMACION (Dirección

Más detalles

Naturaleza binaria. Conversión decimal a binario

Naturaleza binaria. Conversión decimal a binario Naturaleza binaria En los circuitos digitales sólo hay 2 voltajes. Esto significa que al utilizar 2 estados lógicos se puede asociar cada uno con un nivel de tensión, así se puede codificar cualquier número,

Más detalles

UNIDAD 2 Configuración y operación de un sistema de cómputo Representación de datos Conceptos El concepto de bit (abreviatura de binary digit) es fundamental para el almacenamiento de datos Puede representarse

Más detalles

Centro de Capacitación en Informática

Centro de Capacitación en Informática Fórmulas y Funciones Las fórmulas constituyen el núcleo de cualquier hoja de cálculo, y por tanto de Excel. Mediante fórmulas, se llevan a cabo todos los cálculos que se necesitan en una hoja de cálculo.

Más detalles

Capítulo 1 CAPÍTULO 1-INTRODUCCIÓN-

Capítulo 1 CAPÍTULO 1-INTRODUCCIÓN- CAPÍTULO 1-INTRODUCCIÓN- 1 1.1 INTRODUCCIÓN El Procesamiento Digital de Señales es un área de la ingeniería que ha estado creciendo rápidamente a través de las últimas décadas. Su rápido desarrollo es

Más detalles

SOLECMEXICO Página 1 DISEÑO DE CIRCUITOS A PARTIR DE EXPRESIONES BOOLEANAS

SOLECMEXICO Página 1 DISEÑO DE CIRCUITOS A PARTIR DE EXPRESIONES BOOLEANAS SOLECMEXICO Página 1 DISEÑO DE CIRCUITOS A PARTIR DE EXPRESIONES BOOLEANAS Si la operación de un circuito se define por medio de una expresión booleana, es posible construir un diagrama de circuito lógico

Más detalles

ALGEBRA DE BOOLE ENTRADAS SALIDA A B A + B 0 0 0 0 1 1 1 0 1 1 1 1

ALGEBRA DE BOOLE ENTRADAS SALIDA A B A + B 0 0 0 0 1 1 1 0 1 1 1 1 IES NESTOR LMENDROS DPTO. DE TENOLOGÍ LGER DE OOLE INTRODUIÓN (George oole, matemático inglés, 1815-1864) El álgebra opera con variables booleanas, que son aquellas que sólo pueden tomar dos valores (0

Más detalles

3.8 Construcción de una ALU básica

3.8 Construcción de una ALU básica 3.8 Construcción de una ALU básica En este punto veremos como por medio de compuertas lógicas y multiplexores, se pueden implementar las operaciones aritméticas básicas de una ALU. Esencialmente en este

Más detalles

UNIDAD DIDÁCTICA: ELECTRÓNICA DIGITAL

UNIDAD DIDÁCTICA: ELECTRÓNICA DIGITAL IES PABLO RUIZ PICASSO EL EJIDO (ALMERÍA) CURSO 2013-2014 UNIDAD DIDÁCTICA: ELECTRÓNICA DIGITAL ÍNDICE 1.- INTRODUCCIÓN A LA ELECTRÓNICA DIGITAL 2.- SISTEMA BINARIO 2.1.- TRANSFORMACIÓN DE BINARIO A DECIMAL

Más detalles

Por mi honor de estudiante, declaro que no recibí ni brindé ayuda en este trabajo.

Por mi honor de estudiante, declaro que no recibí ni brindé ayuda en este trabajo. Nombre: 20 de mayo de 200 INEL 4505 Introducción a Sistemas de Control Examen Final Preparado por: Raúl E. Torres Muñiz INSTRUCCIONES GENERALES:. El exámen deberá ser entregado el lunes 2 de mayo de 200

Más detalles

Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7

Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7 Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7 1. Objetivos El objetivo de esta práctica es familiarizar al alumno con los autómatas programables de la serie

Más detalles

PROBLEMAS DE FUNDAMENTOS DE COMPUTADORES TEMA 2

PROBLEMAS DE FUNDAMENTOS DE COMPUTADORES TEMA 2 Facultad de Informática Universidad Complutense de Madrid PROBLEMAS DE FUNDAMENTOS DE COMPUTADORES TEMA 2 Problemas básicos: 1. Usando los mapas de Karnaugh, obtenga expresiones como mínima SDP de las

Más detalles

Práctica 4 Diseño de circuitos con puertas lógicas.

Práctica 4 Diseño de circuitos con puertas lógicas. Práctica 4 Diseño de circuitos con puertas lógicas. Descripción de la práctica: -Esta práctica servirá para afianzar los conocimientos adquiridos hasta ahora de simplificación, e implementación de funciones,

Más detalles

NÚMEROS NATURALES Y NÚMEROS ENTEROS

NÚMEROS NATURALES Y NÚMEROS ENTEROS NÚMEROS NATURALES Y NÚMEROS ENTEROS Los números naturales surgen como respuesta a la necesidad de nuestros antepasados de contar los elementos de un conjunto (por ejemplo los animales de un rebaño) y de

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América)

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) FACULTAD DE INGENIERIA DE SISTEMAS E INFORMATICA Escuela Académico Profesional de Ingeniería de Sistemas SILABO 1. ESPECIFICACIONES

Más detalles

Manual de usuario para Android de la aplicación PORTAFIRMAS MÓVIL

Manual de usuario para Android de la aplicación PORTAFIRMAS MÓVIL Manual de usuario para Android de la aplicación PORTAFIRMAS MÓVIL Índice 1 Introducción... 5 1.1 Perfil de la aplicación... 5 1.2 Requisitos técnicos... 5 2 Manual de usuario... 7 2.1 Instalación del certificado...

Más detalles

AUTOR CARLOS EDUARDO BUENO VERGARA JORGE ARMANDO BUENO VERGARA USO DE LOS OBJETOS DEL PROGRAMA. Dfd INFORMÁTICA II PROFESOR. JOSÉ FRANCISCO AMADOR

AUTOR CARLOS EDUARDO BUENO VERGARA JORGE ARMANDO BUENO VERGARA USO DE LOS OBJETOS DEL PROGRAMA. Dfd INFORMÁTICA II PROFESOR. JOSÉ FRANCISCO AMADOR AUTOR CARLOS EDUARDO BUENO VERGARA JORGE ARMANDO BUENO VERGARA USO DE LOS OBJETOS DEL PROGRAMA Dfd INFORMÁTICA II PROFESOR. JOSÉ FRANCISCO AMADOR UNIVERSIDAD TECNOLÓGICA DE PEREIRA. LICENCIATURA EN COMUNICACIÓN

Más detalles

Software para Seguimiento de Clientes. Descripción del Producto

Software para Seguimiento de Clientes. Descripción del Producto Software para Seguimiento de Clientes Descripción del Producto Descripción del Sistema Es un completo sistema que permite tener un mejor control y manejo sobre clientes antiguos y nuevos, ya que permite

Más detalles

Tema 2. La Información y su representación

Tema 2. La Información y su representación Tema 2. La Información y su representación 2.1 Introducción. Un ordenador es una máquina que procesa información. La ejecución de un programa implica la realización de unos tratamientos, según especifica

Más detalles

1. Se establecen los conceptos fundamentales (símbolos o términos no definidos).

1. Se establecen los conceptos fundamentales (símbolos o términos no definidos). 1. ÁLGEBRA DE BOOLE. El álgebra de Boole se llama así debido a George Boole, quien la desarrolló a mediados del siglo XIX. El álgebra de Boole denominada también álgebra de la lógica, permite prescindir

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

GUÍA DEL ALUMNO. 1.- Estructura y contenido de la página web. 2.- Cómo usar esta página web. 3.- Contenidos. 4.- Metodología didáctica.

GUÍA DEL ALUMNO. 1.- Estructura y contenido de la página web. 2.- Cómo usar esta página web. 3.- Contenidos. 4.- Metodología didáctica. GUÍA DEL ALUMNO. 1.- Estructura y contenido de la página web 2.- Cómo usar esta página web. 3.- Contenidos. 4.- Metodología didáctica. 1 GUÍA DEL MONITOR 1.- Estructura y contenido de la página web La

Más detalles

Sistemas de numeración

Sistemas de numeración Sistemas de numeración Sistema binario 0,1 Sistema octal 0, 1, 2, 3, 4, 5, 6, 7 Sistema decimal 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Sistema hexadecimal 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F Una señal

Más detalles

12. Diseño o de circuitos digitales con puertas NAND o NOR

12. Diseño o de circuitos digitales con puertas NAND o NOR Oliverio J. Santana Jaria Sistemas igitales Ingeniería Técnica en Informática de Sistemas urso 2006 2007 12. iseño o de circuitos digitales con puertas NN o NOR demás, Las decir, cualquier función y NOR

Más detalles

CURSO DE AUTÓMATAS PROGRAMABLES

CURSO DE AUTÓMATAS PROGRAMABLES CURSO DE AUTÓMATAS PROGRAMABLES Conocer a los autómatas programables, para qué sirven, dónde se utilizan y realizar programas para su funcionamiento. Dirigido a: El curso está dirigido principalmente a

Más detalles

Qué son los monomios?

Qué son los monomios? Qué son los monomios? Recordemos qué es una expresión algebraica. Definición Una expresión algebraica es aquella en la que se utilizan letras, números y signos de operaciones. Si se observan las siguientes

Más detalles

Matemáticas para la Computación

Matemáticas para la Computación Matemáticas para la Computación José Alfredo Jiménez Murillo 2da Edición Inicio Índice Capítulo 1. Sistemas numéricos. Capítulo 2. Métodos de conteo. Capítulo 3. Conjuntos. Capítulo 4. Lógica Matemática.

Más detalles

Capítulo I. Convertidores de CA-CD y CD-CA

Capítulo I. Convertidores de CA-CD y CD-CA Capítulo I. Convertidores de CA-CD y CD-CA 1.1 Convertidor CA-CD Un convertidor de corriente alterna a corriente directa parte de un rectificador de onda completa. Su carga puede ser puramente resistiva,

Más detalles

BLOQUE "E" CONTROL Y PROGRAMACIÓN DE SISTEMAS AUTOMÁTICOS. 1.- a) Simplificar por el método de Karnaugh la siguiente expresión:

BLOQUE E CONTROL Y PROGRAMACIÓN DE SISTEMAS AUTOMÁTICOS. 1.- a) Simplificar por el método de Karnaugh la siguiente expresión: CONTROL Y PROGRAMACIÓN SISTEMAS 1.- a) Simplificar por el método de Karnaugh la siguiente expresión: S d a. b. d a. b. d a. b. d bcd b) Dibujar un circuito que realice dicha función con puertas lógicas.

Más detalles

Manual de Uso Web profesional

Manual de Uso Web profesional Manual de Uso Web profesional Versión 5 Junio 2015 ÍNDICE 0 Introducción... 3 1 Página principal de Mi área de trabajo... 4 2 Solicitudes... 5 3 Aportaciones... 13 4 Trabajos... 17 5 Los documentos a firmar...

Más detalles

TEMA 1: Control y programación de sistemas automáticos

TEMA 1: Control y programación de sistemas automáticos Esquema: TEMA : Control y programación de sistemas automáticos TEMA : Control y programación de sistemas automáticos....- Introducción.....- Representación de las señales digitales...2 2.- Sistemas de

Más detalles

ÍNDICE 1.0 INTRODUCCIÓN 3 2.0 INSTALACIÓN 3 2.1. Inserción de la tarjeta en el dispositivo 4 2.2. Inserción del dispositivo CAM tdt en el televisor 4

ÍNDICE 1.0 INTRODUCCIÓN 3 2.0 INSTALACIÓN 3 2.1. Inserción de la tarjeta en el dispositivo 4 2.2. Inserción del dispositivo CAM tdt en el televisor 4 ÍNDICE 1.0 INTRODUCCIÓN 3 2.0 INSTALACIÓN 3 2.1. Inserción de la tarjeta en el dispositivo 4 2.2. Inserción del dispositivo CAM tdt en el televisor 4 3.0 ACTUALIZACIÓN DEL PROGRAMA DEL DISPOSITIVO 5 4.0

Más detalles

Tema 1. SISTEMAS DE NUMERACION

Tema 1. SISTEMAS DE NUMERACION Tema 1. SISTEMAS DE NUMERACION SISTEMAS DE NUMERACION Sistemas de numeración Sistema decimal Sistema binario Sistema hexadecimal Sistema octal. Conversión entre sistemas Códigos binarios SISTEMAS DE NUMERACION

Más detalles

Circuitos Electrónicos Digitales. Tema III. Circuitos Combinacionales

Circuitos Electrónicos Digitales. Tema III. Circuitos Combinacionales Circuitos Electrónicos Digitales Tema III Circuitos Combinacionales Universidad de Sevilla Índice 1. Análisis de circuitos combinacionales 2. Diseño de circuitos combinacionales Análisis de Circuitos Combinacionales

Más detalles

Temario de Electrónica Digital

Temario de Electrónica Digital Temario de Electrónica Digital TEMA 1. INTRODUCCIÓN A LOS SISTEMAS DIGITALES. Exponer los conceptos básicos de los Fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre Sistemas

Más detalles

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I TEMA 5 Introducción n a los Sistemas Digitales TEMA 5. Introducción n a los Sistemas Digitales 5.1 Sistemas Digitales 5.2 Sistemas Combinacionales 5.3 Sistemas

Más detalles

podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que el

podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que el CAPÍTULO 4 Funcionamiento del Robot Después de analizar paso a paso el diseño y funcionamiento de la interfase, nos podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que

Más detalles

Teoría de la Comunicación

Teoría de la Comunicación Teoría de la Comunicación Enero 2009 Realice cada ejercicio en hojas separadas. No se permite uso de teléfono móvil. Escriba su nombre en todas las hojas. Indique claramente el apartado al que está respondiendo.

Más detalles

Figura 1.12 Señalización analógica y digital de datos analógicos y digitales.

Figura 1.12 Señalización analógica y digital de datos analógicos y digitales. Los datos digitales se pueden representar por señales digitales, con un nivel de tensión diferente por cada uno de los dígitos binarios. Como se muestra en la figura 1.12, éstas no son las únicas posibilidades.

Más detalles

Proyecto final Diseño de un circuito secuencial. utilizando un contador binario de cuatro bits

Proyecto final Diseño de un circuito secuencial. utilizando un contador binario de cuatro bits Instituto tecnológico de Oaxaca Electrónica digital II ECC047 Proyecto final Diseño de un circuito secuencial utilizando un contador binario de cuatro bits Catedrático: Alumno: Rodríguez Calvo Enrique

Más detalles

Maria José González/ Dep. Tecnología

Maria José González/ Dep. Tecnología Señal analógica es aquella que puede tomar infinitos valores para representar la información. Señal digital usa solo un número finito de valores. En los sistemas binarios, de uso generalizado en los circuitos

Más detalles

DISEÑO COMBINACIONAL

DISEÑO COMBINACIONAL DISEÑO COMBINACIONAL Asignatura: DIGITAL I Carrera: Ingeniería Electrónica Facultad de Ciencias Exactas, Ingeniería y Agrimensura Universidad Nacional de Rosario Año 2011 DISEÑO LÓGICO RESOLUCIÓN DE PROBLEMAS

Más detalles