Sistemas Electrónicos Digitales Curso de adaptación al Grado

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Sistemas Electrónicos Digitales Curso de adaptación al Grado"

Transcripción

1 Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga

2 2 Índice INTRODUCCIÓN CIRCUITOS COMBINACIONALES DECODIFICADORES DECODIFICADOR BCD A 7 SEGMENTOS MULTIPLEXORES CODIFICADORES COMPARADORES REALIZACIÓN DE LA PRÁCTICA... 4 ENTREGAS... 9

3 3 Introducción Es obligatorio leer completa la práctica para luego realizar los apartados que en ella se solicitan, algunos de los conocimientos que se adquieren en la práctica se podrán preguntar en los controles que se realizan a lo largo del curso. Esta práctica consiste en repasar los conceptos y circuitos fundamentales de los sistemas combinacionales, circuitos en los que las salidas dependen exclusivamente de las entradas entradas Circuito Combinacional salidas= f(entradas) Se recomienda al estudiante que realice las descripciones y testbench de que cada uno de los circuitos que se describen a continuación. Esto le permitirá familiarizarse con con el ISE, con las descripciones VHDL y con los testbench antes de afrontar la práctica 2 Circuitos combinacionales 2. Decodificadores Un decodificador es un circuito lógico que convierte un código binario de entrada de n bits en m líneas de salida de tal manera que exclusivamente una de esas líneas se activa (por uno o por cero) para una combinación de entrada. La Fig. muestra un decodificador activo por cero y entrada de habilitación. Fig. Decodificador De tal manera que se cumple que 2 n =m, por ejemplo un decodificador con 4 bits de entrada, debería tener 6 líneas de salida, 2 4 =6 A continuación se muestra la descripción VHDL de un decodificador de 3 bits de entrada y además una entrada de habilitación activa por uno. La salida es activa por cero library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity DECO3A is Port ( e : in STD_LOGIC; e : in STD_LOGIC; e2 : in STD_LOGIC; Habilita : in STD_LOGIC; s : out STD_LOGIC; s : out STD_LOGIC; s2 : out STD_LOGIC; s3 : out STD_LOGIC; s4 : out STD_LOGIC; s5 : out STD_LOGIC; s6 : out STD_LOGIC; s7 : out STD_LOGIC ); end DECO3A;

4 4 architecture Comportamiento of DECO3A is signal encadeno : std_logic_vector (2 downto ); encadeno <= e2&e&e; PROCESS(habilita, e, e, e2) if habilita='' then s7<= ''; s6<= ''; s5<= ''; s4<= ''; s3<= ''; s2<= ''; s<= ''; s<= ''; else CASE encadeno IS WHEN "" => S7<= ''; S6<= ''; S5<= ''; S4<= ''; S3<= ''; S2<= ''; S<= ''; S<= ''; WHEN "" => S7<= ''; S6<= ''; S5<= ''; S4<= ''; S3<= ''; S2<= ''; S<= ''; S<= ''; WHEN "" => S7<= ''; S6<= ''; S5<= ''; S4<= ''; S3<= ''; S2<= ''; S<= ''; S<= ''; WHEN "" => S7<= ''; S6<= ''; S5<= ''; S4<= ''; S3<= ''; S2<= ''; S<= ''; S<= ''; WHEN "" => S7<= ''; S6<= ''; S5<= ''; S4<= ''; S3<= ''; S2<= ''; S<= ''; S<= ''; WHEN "" => S7<= ''; S6<= ''; S5<= ''; S4<= ''; S3<= ''; S2<= ''; S<= ''; S<= ''; WHEN "" => S7<= ''; S6<= ''; S5<= ''; S4<= ''; S3<= ''; S2<= ''; S<= ''; S<= ''; WHEN "" => S7<= ''; S6<= ''; S5<= ''; S4<= ''; S3<= ''; S2<= ''; S<= ''; S<= ''; WHEN OTHERS => S7<= ''; S6<= ''; S5<= ''; S4<= ''; S3<= ''; S2<= ''; S<= ''; S<= ''; END CASE; END IF; END PROCESS; end Comportamiento;

5 5 2.2 Decodificador BCD a 7 segmentos Este tipo de decodificador es una variante de los a los descritos en el párrafo anterior, en este caso la entrada es un código BCD, cuatro bits de entrada para indicar del al 9, y la salida tiene líneas de las cuales 7 se corresponden con los segmentos del display, y la otra al punto del display entrada BCD e3 e2 e a b c d e f e g a b c e f g p d p fig. 2 a) Decodificador BCD a 7 segmentos b) Display de 7 segmentos LIBRARY ieee; USE ieee.std_logic_64.all; USE ieee.numeric_std.all; entity BCD7SEG is PORT (BCD : in std_logic_vector(3 downto ); -- código BCD entrada controldisplay : out std_logic_vector (3 downto ); SEGMENTOS : out std_logic_vector(7 downto )); -- 7segmentos + p end BCD7SEG; architecture Behavioral of BCD7SEG is BEGIN controldisplay <=""; -- control displays encendidos WITH BCD SELECT gfedcbap SEGMENTOS <= "" when "", -- "" when "", -- "" when "", --2 "" when "", --3 "" when "", --4 "" when "", --5 "" when "", --6 "" when "", --7 "" when "", -- "" when "", --9 "" when others; --todo end Behavioral; 2.3 Multiplexores Un multiplexor es un circuito lógico que posee n entradas de datos y una salida, por lo tanto necesitan m entradas de selección, donde m se puede calcular a partir de la expresión n=2 m, en la figura se observa un multiplexor de 4 entradas a salida, por lo tanto m=2, dos líneas de selección.

6 6 e e e2 e3 2 3 y e e e2 e3 2 3 y a) sel sel b) sel sel Fig. 3 a) Multiplexor 4 a de un bit b) Multiplexor 4 a de bits library ieee; use ieee.std_logic_64.all; use ieee.numeric_std.all; entity mux4ade is generic (palabra: integer:=); port ( e : in std_logic_vector(palabra- downto ); e : in std_logic_vector(palabra- downto ); e2 : in std_logic_vector(palabra- downto ); e3 : in std_logic_vector(palabra- downto ); sel : in std_logic_vector( downto ); y : out std_logic_vector(palabra- downto )); end mux4ade; architecture comporta of mux4ade is with sel select y <=e when "", e when "", e2 when "", e3 when others; end comporta; Descripción del multiplexor de la Fig. 3 b) multiplexor 4 a de bits, observad la utilización de generic para generalizar las descripciones, sería muy fácil implementar uno de 4 a de 6 bits. Observad que el when debe estar completo, por ello aparece la posibilidad del when others 2.4 Codificadores Son dispositivos que realizan la operación inversa de los decodificadores. Generalmente, poseen 2 n entradas y n salidas, es decir, proporciona a la salida el código binario de la entrada que está activada.

7 7 Podemos ver en la figura un codificador con prioridad de entradas y una de habilitación, cuyo comportamiento responde a la tabla I7 I6 I5 I4 I3 I2 I I enable s2 s s enable I7 I6 I5 I4 I3 I2 I I S2 S S error X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X Fig. 4 Codificador con prioridad library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CODA3 is port( I :in std_logic_vector(7 downto ); enable : in std_logic; S :out std_logic_vector(2 downto ) ); end CODA3; architecture Behavioral of CODA3 is process (I, enable) if enable ='' then if (I(7)='') then -- la prioridad la proporciona el IF S<=""; elsif (I(6)='') then S<=""; elsif (I(5)='') then S<=""; elsif (I(4)='') then S<=""; elsif (I(3)='') then S<=""; elsif (I(2)='') then S<=""; elsif (I()='') then S<=""; elsif (I()='') then S<=""; end if; else S<=""; end if; end process; end Behavioral; 2.5 Comparadores Son circuitos que realizan la comparación entre los valores de las entradas indicando a la salida si son iguales o una mayor o menor que la otra.

8 library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity comparador is generic (nbits : integer:=); Port ( a : in STD_LOGIC_VECTOR (nbits- downto ); b : in STD_LOGIC_VECTOR (nbits- downto ); AmyB : out STD_LOGIC; AmnB : out STD_LOGIC; AigualB : out STD_LOGIC); end comparador; architecture Behavioral of comparador is process (a,b) if (a > b) then AmyB <= ''; AigualB <= ''; AmnB <= ''; elsif (a = b) then AmyB <= ''; AigualB <= ''; AmnB <= ''; else AmyB <= ''; AigualB <= ''; AmnB <= ''; end if; end process; end Behavioral; A B COMPARADOR AmyB AmnB AigualB 3 Realización de la Práctica Disponemos de dos depósitos los cuales tienen conectados sensores cada uno, como muestra la fig. 5, los sensores son activos por ''. Se pide describir un circuito combinacional de forma estructurada que muestre en el display de más a la izquierda de la placa Basys2 el valor codificado en binario del contenido menor del depósito correspondiente. Por ejemplo, en la fig. 5, el depósito A tiene activados los sensores al 4 () y el depósito B los sensores al 2 (), el display debe mostrar el 2. depósito A e a g d b depósito B fig. 5 Depósitos

9 9 Para simular los sensores utilizaremos los SW7 a SW para el depósito A y las entradas entradas de los los conectores JB y JC de la fig. 6 fig. 6 Conectores a utilizar Una aproximación al depósito A diseño se muestra en el diagrama de codificación comparación bloques que se muestra a continuación depósito B selección visualización 4 Entregas. La entrega de la práctica se realiza a través de Campus Virtual 2. Proyecto completo ISE 4.3, convenientemente limpiado para que ocupe lo menos posible 3. Fichero *.bit para cargar en la FPGA

10

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS.

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Práctica 3 DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Descripción VHDL de sistemas secuenciales Ángel Grediaga Olivo Universidad Alicante 1 Práctica 3 Descripción de registros y memorias Objetivos

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

6. Codificadores. Electrónica Digital. Tema

6. Codificadores. Electrónica Digital. Tema 6. Codificadores Un codificador realiza la función inversa al decodificador, es decir, al activarse una de las entradas, en la salida aparece la combinación binaria correspondiente al número decimal activado

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

8. Multiplexores Digitales

8. Multiplexores Digitales 8. Multiplexores Digitales El multiplexor permite seleccinar del total de líneas de entrada una única y trasladar la información que conlleva dicha línea a la salida del circuito. Suele utilizarse para

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3)

Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3) Capítulo 1: Circuitos Digitales Circuitos combinacionales (2/3) Índice Introducción Circuitos combinacionales Multiplexores Demultiplexores Decodificadores No excitadores/excitadores Codificadores Con

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL EJERCICIOS DE SISTEMAS ELECTRÓNICOS DIGITALES: HOJA 2 2 o CURSO DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL LENGUAJES DE ALTO NIVEL 1) Realiza en RTL un comparador de dos buses

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006.

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. OBJETIVOS: Con este proyecto se pretende que el alumno practique y afiance los conocimientos

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

INDICE. Definición de codificador Tipos de codificadores. Ejercicios. Referencias. Codificadores sin prioridad Codificadores con prioridad

INDICE. Definición de codificador Tipos de codificadores. Ejercicios. Referencias. Codificadores sin prioridad Codificadores con prioridad INDICE Definición de codificador Tipos de codificadores Codificadores sin prioridad Codificadores con prioridad Circuito comercial de la familia 74 Descripción VHDL del dispositivo Ejemplos de aplicaciones

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles

ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos).

ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). ELECTRÓNICA DIGITAL 24-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 11111100-4 10101010-86 01010110 86 2. Determinar el

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES Oscar Ignacio Botero Henao. CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES MULTIPLEXOR (MUX) Un Multiplexor (MUX) es un circuito combinacional al que entran varios canales de datos, y sólo salen los datos del

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 5 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1 y z2

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017 Solución al Trabajo Práctico - Junio de 2017 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

Practica No. 5 Diseño de un Multiplicador

Practica No. 5 Diseño de un Multiplicador Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos

Más detalles

PRUEBA DE ENTRADA E P3 P2

PRUEBA DE ENTRADA E P3 P2 PONTIFICIA UNIVRSIDAD CATÓLICA DL PRÚ STUDIOS GNRALS CINCIAS DANIL LLAMOCCA PRUBA D NTRADA NOMBR : FCHA: / /5 CÓDIGO : LAB. Nº: HORARIO: H-44. Complete el diagrama de tiempos del siguiente circuito (determine

Más detalles

MICROPROCESADOR (CÓDIGO EN VHDL) TOMADO DEL LIBRO PARDO Y BOLUDA

MICROPROCESADOR (CÓDIGO EN VHDL) TOMADO DEL LIBRO PARDO Y BOLUDA MICROPROCESADOR (CÓDIGO EN VHDL) TOMADO DEL LIBRO PARDO Y BOLUDA LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY procesador IS PORT(clk,rst: IN std_logic; r_w: OUT std_logic; dir: OUT std_logic_vector(7

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

Practica No. 5 Diseño de un Multiplicador

Practica No. 5 Diseño de un Multiplicador Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana Solución al examen de Junio 2012, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,x4,x5 entre los instantes 0 y

Más detalles

Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida.

Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida. Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida. En electrónica digital un multiplexor equivale a un conmutador.

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016 Solución al Trabajo Práctico - Junio de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

PRACTICA 3. Lenguaje de descripción de hardware VHDL. Lenguaje de descripción de hardware VHDL. Objetivo: El alumno analizara de que partes escenciales conta un codigo hecho atravez del lenguaje de descripcion de hardware VHDL, asi tambien que implica la

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

----*************************************************************************

----************************************************************************* library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use UNISIM.VComponents.all; ----********************************************************************

Más detalles

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES.

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. Sumadores básicos: Los sumadores son muy importantes no solamente en las computadoras, sino en muchos pos de sistemas digitales en los que se

Más detalles

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3 ELECTRÓNICA DIGITAL Ejercicios propuestos Tema Ejercicio. Convertir a binario natural, los siguientes números expresados en formato decimal. Puedes predecir a priori los bits que necesitarás para la representación

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 1. Dado un decodificador de dos entradas (DEC 2:4), dar la descripción estructural, la descripción

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014 Solución al Trabajo Práctico - Junio de 2014 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es contabilizar el número de señales de entrada que tienen valor

Más detalles

Simulación con un banco de pruebas VHDL - test bench.

Simulación con un banco de pruebas VHDL - test bench. Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2 Diseño de un test bench 4.3 Ejemplos Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2

Más detalles

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16

Más detalles

Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2 Definición de nuevos tipos de datos 4.3 Ejemplos de máquinas de estados 4.

Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2 Definición de nuevos tipos de datos 4.3 Ejemplos de máquinas de estados 4. Tema 4: Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2 Definición de nuevos tipos de datos 4.3 Ejemplos de máquinas de estados 4.4 Atributos Tema 4: Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 8

Sistemas Electrónicos Digitales. PRACTICA nº 8 PRACTICA nº 8 Diseño de subsistemas aritméticos. Síntesis sobre dispositivos FPGA. Síntesis estructural a partir de la descripción VHDL a nivel RTL de la estructura. Síntesis a partir de la descripción

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015

Más detalles

2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory.

2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory. FACULTAD DE INGENIERÍA ELECTRÓNICA. GRUPO SEDA SEDA.ESCUELAING.EDU.CO PROFESOR: JAVIER SOTO PHD. TUTORIAL CREACIÓN, SIMULACIÓN E IMPLEMENTACIÓN DE UN PROYECTO (VHDL) EN VIVADO 2016.3 DESCRIPCIÓN Este tutorial

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana Solución al examen de Junio 2014, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

PLANTILLA. [t], Maximino Peña Guerrero,

PLANTILLA. [t], Maximino Peña Guerrero, PLANTILLA Instrucciones secuenciales: CASE CASE ejecuta una o varias secuencias de instrucciones que dependen del valor de una sola expresión. SINTAXIS case expression is when choices => {sequential_statement}

Más detalles

4.7 Aplicaciones usando la tarjeta Spartan 3E

4.7 Aplicaciones usando la tarjeta Spartan 3E 4.7 Aplicaciones usando la tarjeta Spartan 3E USO DE LOS PERIFERICOS DEL SISTEMA DE DESARROLLO SPARTAN 3E Instructor: MC. Rodrigo Lucio Maya Ramírez Uso del LCD Operación escritura Operación lectura Inicialización

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

Tutorial 2: Pasar de diagrama ASM a un circuito

Tutorial 2: Pasar de diagrama ASM a un circuito Tutorial 2: Pasar de diagrama ASM a un circuito Introducción En este segundo tutorial veremos cómo transformar nuestros diagramas ASM en circuitos que puedan ser implementados utilizando la placa DEO.

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2012

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2012 Solución al Trabajo Práctico - Junio de 2012 EJERCICIO 1 A continuación se muestran dos funciones lógicas F y G, que dependen de las cuatro variablesa,b,cydde la forma mostrada a continuación: F = A B

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 3

Sistemas Electrónicos Digitales. PRACTICA nº 3 PRACTICA nº 3 Diseño top-down estructural y síntesis de un procesador dedicado elemental para resolver el cálculo del máximo común divisor (MCD) de dos números sin signo Objetivos: Análisis, diseño y síntesis

Más detalles

SISTEMAS DIGITALES VHDL

SISTEMAS DIGITALES VHDL SD SISTEMAS DIGITALES VHDL Fredy Hernán Riascos Campiño Practica 1a: Implementación de un incrementador: Se he de implementar un modulo incrementador (INC), Este modulo no es mas que un sumador y un registro

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Práctica 7. Diseño en VHDL de un modulador PPM

Práctica 7. Diseño en VHDL de un modulador PPM Práctica 7. Diseño en VHDL de un modulador PPM 7.1. Objetivos Diseñar un sistema de modulación por posición de pulso (PPM) mediante VHDL e implementarlo en una FPGA. Aprender a utilizar las diferentes

Más detalles

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select. Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

ALU (Unidad Aritmética Lógica). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica

ALU (Unidad Aritmética Lógica). Objetivo General. Objetivo Específicos. Material y equipo. Tarea previa. Introducción teórica Sistemas digitales II. Guía 3 1 ALU (Unidad Aritmética Lógica). Facultad: Ingeniería. Escuela: Electrónica. Asignatura: Sistemas Digitales II. Lugar de Ejecución: Microprocesadores (3.23). Objetivo General.

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas

Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas Problemas propuestos Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas F(A, B, C) = AB + A B C F(A, B, C, D) = C (A + D) (A + B + D) F(A, B, C, D) = A + B

Más detalles

Tipos de datos en VHDL

Tipos de datos en VHDL Tipos de datos en VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

SENTENCIA LOOP 1.- Implementar y simular el siguiente circuito que cuenta el número de bits 1 que ingresan

SENTENCIA LOOP 1.- Implementar y simular el siguiente circuito que cuenta el número de bits 1 que ingresan UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRÓNICA ESCUELA DE ELECTRONICA Laboratorio N 3: Descripción VHDL utilizando Estilo Algorítmico-Parte II SENTENCIA LOOP 1.- Implementar

Más detalles

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Pablo Huerta Pellitero Luis Rincón Córcoles ÍNDICE Bibliografía

Más detalles

Uso de (don t care) en VHDL

Uso de (don t care) en VHDL Uso de (don t care) en VHDL Nota Técnica 04 Cristian Sisterna Introducción El valor ' - ', comúnmente llamado don't care o no importa, normalmente es usado en funciones booleanas para representar indistintamente

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación Tutorial de VHDL: Contadores y Simulación Importante: -Verifique la instalación del Webpack 6.0 y el Modelsim XE 6.0 SE. Ambos programas se pueden bajar desde www.xilinx.com. -Verifique también la licencia

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti VHDL Very High speed integrated circuit Hardware Description Language Digital II Ingeniería Electrónica Rosa Corti Contenido Dispositivos FPGA Lenguaje VHDL: Evolución y características Unidades de diseño

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas Carlos Andrés Luna Vázquez Lección 10 Problemas 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles