INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006.

Tamaño: px
Comenzar la demostración a partir de la página:

Download "INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006."

Transcripción

1 INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. OBJETIVOS: Con este proyecto se pretende que el alumno practique y afiance los conocimientos adquiridos en clase de teoría sobre descripción de hardware para aplicaciones industriales. El alumno deberá conocer la estructura, formato y estilo del leguaje de descripción de hardware VHDL. ESTRUCTURA: El trabajo será realizado en equipo (máximo tres alumnos). Tanto el enunciado del trabajo, como la división del mismo serán decisiones a tomar por el equipo. El seguimiento del trabajo se realizará en tres sesiones, cuyos horarios están publicados en y a los que los alumnos deben apuntarse a través de dicha página web. Sesión 1: Propuesta del trabajo por grupo. Herramientas de edición de proyectos VHDL (Xilinx ISE Foundation) y de Simulación VHDL (ModelSim). (8/Nov/2006 ó 15/Nov/2006) Los alumnos deben asistir al laboratorio con el equipo consolidado (máximo 3 alumnos), y con una propuesta de enunciado del trabajo siguiendo las indicaciones del apartado de preparación de este enunciado. Defenderán dicha propuesta ante el profesor. Exposición y práctica sencilla sobre el manejo de las herramientas de edición y simulación. Usar los códigos VHDL del anexo 1. Sesión 2: Revisión: diagrama de bloques, VHDL sistema y simulación. Herramienta de Síntesis VHDL. (22/Nov/2006 ó 29/Nov/2006) Los alumnos deben asistir al laboratorio con una descripción VHDL de su sistema y un diagrama de bloques de la solución propuesta. Cada grupo defenderá ante el profesor la solución aportada y comentará y solventará los problemas que se han presentado con las simulaciones. Exposición y práctica sencilla sobre el manejo de la herramienta de síntesis VHDL. Cada grupo deberá realizar los mismos pasos con su proyecto. Realizar la simulación y la síntesis del sistema propuesto en el Anexo 2. Sesión 3: Revisión estado del trabajo. (22/Nov/2005) En esta sesión el profesor revisará el estado del trabajo de cada equipo. Será requisito disponer de una versión del VHDL totalmente operativa tanto del sistema como de la simulación del mismo. Cada grupo mostrará los resultados de la simulación de su proyecto al profesor. Los alumnos aprovecharán para preguntar y resolver los problemas que han ido encontrando durante la síntesis. PREPARACIÓN: Cada grupo debe pensar en un proyecto para este trabajo tutorado, siendo objeto de evaluación su originalidad. Se recomienda seguir las siguientes indicaciones: - La descripción VHDL debe ser de un hardware sencillo y aplicable a la industria. - Su estructura de control contendrá al menos una máquina de estados. - Su estructura de datos contendrá una parte combinacional (operaciones lógicas) y otra secuencial (registros y biestables). - Sólo se usará una señal de reloj (CLK) y un reset asíncrono a nivel alto (RST). Si se necesitan otras frecuencias de reloj se solventará a través de los enables de los biestables. Ejemplo: un hardware que conectado a un Microcontrolador, extienda su operabilidad. MEMORIA: Se entregará una memoria por equipo, con los siguientes apartados: enunciado del problema, reparto del trabajo, diagrama de bloques comentado de la solución propuesta, descripción VHDL comentada de la solución, descripción VHDL comentada de la simulación, resultados de la simulación (cronogramas comentados), comentarios de la síntesis y datos más relevantes (área ocupada, velocidad máxima), memoria de la evolución del proyecto. FECHA ENTREGA: La fecha tope de entrega de las memorias es el día del examen del primer cuatrimestre. Los grupos deberán entregar firmada la hoja de reparto de nota adjunta, junto con la memoria. 1

2 ANEXO 1 Código VHDL de un generador de números aleatorios. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; entity prandom32 is port (EN: in std_logic; PCLK: in std_logic; RSTz: in std_logic; O: out std_logic_vector (31 downto 0) end prandom32; architecture A1 of prandom32 is signal ran: std_logic_vector (31 downto 0 BRAN: process (RSTz,PCLK) ran <= " "; --seed elsif PCLK'event and PCLK='1' then if EN='1' then for i in 31 downto 1 loop ran(i) <= ran(i-1 ran(0) <= ran(30) xor ran(2 BOUT: process (ran,rstz) O <= ran; end A1; architecture A2 of prandom32 is signal ran: std_logic_vector (31 downto 0 process (RSTz,PCLK) ran <= " "; --seed elsif PCLK'event and PCLK='1' then if EN='1' then for i in 31 downto 1 loop ran(i) <= ran(i-1 ran(0) <= ran(31) xor ran(6) xor ran(4) xor ran(2) xor ran(1) xor ran(0 BOUT: process (ran,rstz) O <= ran; end A2; Código VHDL de la simulación del generador de números aleatorios. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY testbench IS END testbench; EN => EN, PCLK => PCLK, RSTz => RSTz, O => O ARCHITECTURE behavior OF testbench IS COMPONENT prandom32 PORT( EN : IN std_logic; PCLK : IN std_logic; RSTz : IN std_logic; O : OUT std_logic_vector(31 downto 0) END COMPONENT; SIGNAL EN : std_logic; SIGNAL PCLK : std_logic; SIGNAL RSTz : std_logic; SIGNAL O : std_logic_vector(31 downto 0 uut: prandom32 PORT MAP( tb : PROCESS pclk <= '0'; wait for 5 ns; pclk <= '1'; wait for 5 ns; brst: process rstz <= '0'; wait for 1 us; rstz <= '1'; END; en <= '1'; 2

3 ANEXO 2 Código VHDL de un sistema simple: Receptor de protocolo RS-232 serie. CLKS es el reloj de 9600 baudios y CLK el reloj del sistema de 25 MHz. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; -- Lee la linea de recepcion del puerto serie (TX del PC y RX en nuestro receptor) y saca los 8 bits en paralelo dando -- un pulso en LD para su captura por otro circuito. -- La senal de salida WS se usa para la sincronizacion del divisor del reloj entity rx_serie is Port (CLKS: in std_logic; baudios CLK: in std_logic; MHz RX: in std_logic; RSTz: in std_logic; WS: out std_logic; -- indica si estamos en el estado de espera por bit de start. LD: out std_logic; DOUT: out std_logic_vector(7 downto 0) end rx_serie; architecture Behavioral of rx_serie is type t_state is (wait_start,read_data,stop_bit signal estado,proximo_estado: t_state; signal data: std_logic_vector (7 downto 0 signal cont: integer range 0 to 8; signal ild: std_logic; B_SYNC: process (CLKS,RSTz) estado <= wait_start; cont <= 0; data <= (others=>'0' elsif CLKS'event and CLKS='1' then estado <= proximo_estado; case estado is when read_data => if cont < 8 then data(cont)<=rx; cont<=cont+1; when others => cont <= 0; end case; B_ASYN: process (estado,cont,rx,data) case estado is when wait_start => if RX='0' then proximo_estado <= read_data; else proximo_estado <= wait_start; ild <= '0'; WS <= '1'; when read_data => if cont <7 then proximo_estado <= read_data; else proximo_estado <= stop_bit; ild <= '0'; WS <= '0'; when stop_bit => if RX='1' then proximo_estado <= wait_start; else proximo_estado <= read_data; ild <= '1'; WS <= '0'; end case; DOUT <= data; B_LD: process (CLK,RSTz) variable one: boolean; -- Para dar un pulso de CLK por un pulso de CLKS LD <= '0'; one := true; elsif CLK'event and CLK='1' then if ild='1' and one then LD <= '1'; one := false; elsif ild='1' and not one then LD <='0'; elsif ild='0' then one := true; LD <= '0'; end Behavioral; 3

4 Código de simulación del sistema anterior. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY sim_rxserie_vhd IS END sim_rxserie_vhd; ARCHITECTURE behavior OF sim_rxserie_vhd IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT rx_serie PORT( CLKS : IN std_logic; CLK : IN std_logic; RX : IN std_logic; RSTz : IN std_logic; WS : OUT std_logic; LD : OUT std_logic; DOUT : OUT std_logic_vector(7 downto 0) END COMPONENT; --Inputs SIGNAL CLKS : std_logic := '0'; SIGNAL CLK : std_logic := '0'; SIGNAL RX : std_logic := '0'; SIGNAL RSTz : std_logic := '0'; --Outputs SIGNAL WS : std_logic; SIGNAL LD : std_logic; SIGNAL DOUT : std_logic_vector(7 downto 0 constant data: std_logic_vector(0 to 7) := " "; -- Dato = 0xAA -- Instantiate the Unit Under Test (UUT) uut: rx_serie PORT MAP( CLKS => CLKS, CLK => CLK, RX => RX, RSTz => RSTz, WS => WS, LD => LD, DOUT => DOUT BRST: process rstz <= '0'; wait for 1 us; rstz <= '1'; BCLK: PROCESS clk <= '0'; wait for 20 ns; clk <= '1'; wait for 20 ns; BCLKS: PROCESS clks <= '0'; wait for 51 us; clks <= '1'; wait for 51 us; BDATA: process rx <= '1'; wait until rstz='1'; rx <= '0'; -- bit de start for i in 0 to 7 loop rx <= data(i rx <= '1'; -- bit de stop END; 4

5 INGENIERÍA TÉCNICA INDUSTRIAL ELECTRÓNICA INFORMÁTICA INDUSTRIAL CURSO TRABAJO TUTORADO DE VHDL. REPARTO DE CALIFICACIONES ENTRE LOS COMPONENTES DEL GRUPO. 3º INGENIERÍA TÉCNICA INDUSTRIAL, ESPECIALIDAD ELECTRÓNICA. Los componentes del equipo que ha desarrollado el trabajo titulado , que ha obtenido una calificación de... puntos, consideran que el reparto de dicha calificación, de acuerdo al reparto del trabajo que se ha realizado, debe ser la indicada a continuación, estando conformes todos los componentes del equipo. Nombre y Apellidos Calificación Fdo... Fdo... Fdo... Sevilla,... de... de 200 5

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Introducción a la simulación con ModelSim.

Introducción a la simulación con ModelSim. Introducción a la simulación con ModelSim. Este es un resumen de la funcionalidad básica del simulador ModelSim. Model Sim permite muchas cosas más. Puede encontrar más información en Help->PDF Documentation->Tutorial

Más detalles

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Introducción Al ejecutar el software

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas Carlos Andrés Luna Vázquez Lección 10 Problemas 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera.

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Simulación avanzada con Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Desc. del Problema Descripción HDL Synthesis Place and Route / Fit 2 - Generalidades - Generación de Estímulos Agenda - Asignaciones

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Iván González, Juan González, Francisco Gómez-Arribas Escuela Politécnica Superior Universidad Autónoma de Madrid

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs

DISEÑO DE PROCESADORES DEDICADOS. Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs DISEÑO DE PROCESADORES DEDICADOS Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs DR. JUAN CARLOS HERRERA LOZADA jlozada@ipn.mx Instituto Politécnico

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Práctica 1 Práctica 1 Sistemas Electrónicos Digitales Introducción al diseño electrónico digital con VHDL Universidad de Alicante Ángel Grediaga. Francisco J.Brotons 2 Índice 1 INTRODUCCIÓN... 3 2 OBJETIVO...

Más detalles

TRABAJO DE FINAL DE CARRERA

TRABAJO DE FINAL DE CARRERA TRABAJO DE FINAL DE CARRERA TÍTULO DEL TFC: TITULACIÓN: AUTOR: DIRECTOR: Introducción al diseño de sistemas digitales reconfigurables en FPGA con VHDL y la tarjeta Spartan-3AN de Xilinx Ingeniería Técnica

Más detalles

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPÍTULO B. GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CONTENIDO 5.1 Introducción. 5.2 Diseño de un generador digital de tren de pulsos programable

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Descripciones funcionales y estructurales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Descripciones funcionales y estructurales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Descripciones funcionales y estructurales Descripciones funcionales y estructurales. Introducción al lenguaje

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-27 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Sequential Logic Described in VHDL - A Design Example ARTECHE DIAZ Raul Center of

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Tutorial de ModelSim PE Student Edition

Tutorial de ModelSim PE Student Edition Tutorial de ModelSim PE Student Edition Instalación Ir a http://portal.model.com/modelsim/downloads/license_agreement_form_gen.asp Rellenar los datos y selecionar Request Download Seleccionar el enlace

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09 Lenguaje VHDL Código para representar sistemas digitales en VHDL 1 Las secciones fundamentales que forman el código en VHDL son: librería (LIBRARY), entidad (ENTITY) y arquitectura (ARCHITECTURE). LIBRARY:

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

Tema 2 Descripción de VHDL (2/2)

Tema 2 Descripción de VHDL (2/2) TECNOLOGÍA DE COMPUTADORES Tema 2 Descripción de VHDL (2/2) Agustín Álvarez Marquina Tipos de sentencias en VHDL Sentencias concurrentes PROCESS Asignación de señal BLOCK Llamadas a procedimientos Llamadas

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

Práctica III Introducción a la descripción VHDL del procesador DLX

Práctica III Introducción a la descripción VHDL del procesador DLX Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 3 Práctica III Introducción a la descripción VHDL del procesador DLX 3.1. Implementación del procesador DLX La configuración

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

5Ejemplos de Aplicaciones del VHDL.

5Ejemplos de Aplicaciones del VHDL. 5Ejemplos de Aplicaciones del VHDL. 5.1 INTRODUCCION En los capítulos anteriores se describió el proceso de diseño para sistemas digitales y las herramientas disponibles (tanto software como hardware)

Más detalles

Práctica 6. Diseño Lógico Digital mediante VHDL

Práctica 6. Diseño Lógico Digital mediante VHDL Práctica 6. Diseño Lógico Digital mediante VHDL 6.1. Objetivos Aprender a diseñar circuitos lógicos digitales mediante el uso de lenguajes de descripción de hardware como VHDL. 6.2. Introducción al VHDL

Más detalles

DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos

DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos ASIGNATURA Microelectrónica FECHA Junio - 2009 APELLIDOS,NOMBRE SOLUCION Nº Lista 1 2 3 4 5 6 7 T Cuestión 1 (10 ptos) Nota: las respuestas

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 7

Sistemas Electrónicos Digitales. PRACTICA nº 7 PRACTICA nº 7 Diseño de Memorias RAM y ROM. Síntesis e implementación sobre dispositivos FPGA. Síntesis empleando FFs y síntesis utilizando bits de RAM dedicados Objetivos: Descripción y síntesis de memorias

Más detalles

Introducción n al diseño lógico con VHDL

Introducción n al diseño lógico con VHDL Seminario de extensión de la cátedra de Introducción a los Sistemas Lógicos y Digitales Introducción n al diseño lógico con VHDL Sergio Noriega 13 de Noviembre del 2008 VHDL : Very High Speed Integrated

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Tema 2. Funciones Lógicas Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Introducción al VHDL Definición de las estructuras básicas. Entidades: genéricos y puertos. Tipos

Más detalles

CONTADORES CONTADORES ASINCRONOS ASCENDENTE

CONTADORES CONTADORES ASINCRONOS ASCENDENTE CONTADOES CONTADOES ASINCONOS ASCENDENTE S 2 J ck 2 K Vdd Vdd Vdd S J ck K S J ck K Las entradas asincronas S y estan desactivadas -- CI : 2 3 4 5 6 7 8 9 2 2 CONTADO ASINCONO DESCENDENTE S 2 J ck 2 K

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Asignatura: Tecnología de Computadores. Bloque 1: Introducción Tema 3: Introducción a los lenguajes de descripción de hardware

Asignatura: Tecnología de Computadores. Bloque 1: Introducción Tema 3: Introducción a los lenguajes de descripción de hardware Titulación: ió Grado en Ingeniería de Computadores Asignatura: Tecnología de Computadores Bloque 1: Introducción Tema 3: Introducción a los lenguajes de descripción de hardware Pablo Huerta Pellitero e

Más detalles

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5 Planificaciones 8641 - Sistemas Digitales Docente responsable: ALVAREZ NICOLAS 1 de 5 OBJETIVOS El objetivo de la materia es aprender a diseñar arquitecturas digitales utilizando como herramienta los lenguajes

Más detalles

Practica No. 6 Diseño de un Procesador CISC de 8 Bits

Practica No. 6 Diseño de un Procesador CISC de 8 Bits Practica No. 6 Diseño de un Procesador CISC de 8 Bits Objetivo: Diseñar un microprocesador CISC de 8 bits, específicamente un clón del microprocesador 6811 de Motorola. Desarrollo: Para cada uno de los

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL

INTRODUCCIÓN AL LENGUAJE VHDL INTRODUCCIÓN AL LENGUAJE VHDL LDD 2007-08 1 Introducción. ÍNDICE. 1. Conceptos básicos del lenguaje y Aplicaciones. 2. Tipos de datos y Señales. 3. Sentencias concurrentes y secuenciales. 4. Registros

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

ELEMENTOS Y TIPOS DE DATOS

ELEMENTOS Y TIPOS DE DATOS 1 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Y TIPOS DE DATOS Elementos Tipos de datos Librerías Std_logic y Std_logic_vector 2 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Elementos del VHDL: Comentarios Palabras reservadas.

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

Correcto uso de Reset en FPGAs y su Codificación en VHDL

Correcto uso de Reset en FPGAs y su Codificación en VHDL Correcto uso de Reset en FPGAs y su Codificación en VHDL Nota Técnica 10 Cristian Sisterna Introducción En esta nota técnica se describirán con bastante detalle los distintos tipos de reset que se pueden

Más detalles

Implementación de Filtros Digitales Tipo FIR en FPGA

Implementación de Filtros Digitales Tipo FIR en FPGA Implementación de Filtros Digitales Tipo FIR en FPGA Jesús Antonio Álvarez Cedillo, Klauss Michael Lindig Bos, Gustavo Martínez Romero Resumen En este artículo se hace la descripción del diseño de un filtro

Más detalles

Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC

Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC Juan González Gómez Escuela Politécnica Superior Universidad Autónoma de Madrid Andrés Prieto-Moreno Torres Ifara Tecnologías,

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

DIE UPM. Se dispone de una etapa amplificadora conectada a una resistencia de carga R L de valor 1KΩ en paralelo con un condensador C L.

DIE UPM. Se dispone de una etapa amplificadora conectada a una resistencia de carga R L de valor 1KΩ en paralelo con un condensador C L. UNIVERSIDAD POLITÉCNICA DE MADRID ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES UPM DIE DEPARTAMENTO DE AUTOMÁTICA, INGENIERÍA ELECTRÓNICA E INFORMÁTICA INDUSTRIAL DIVISIÓN DE INGENIERÍA ELECTRÓNICA

Más detalles

Introducción al Lenguaje de Descripción Hardware VHDL

Introducción al Lenguaje de Descripción Hardware VHDL Introducción al Lenguaje de Descripción Hardware VHDL Arquitectura y tecnología de Computadores (Informática) Fundamentos de Computadores (Teleco) Juan González (juan.gonzalez@uam.es) (C) Sergio López

Más detalles

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 SEMI-SUMDOR SUMNDOS SUM CRREO B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 SUM BINRI B S = B S =. B SUMDOR TOTL Ejemplo de suma B Ci Ci 1 1 0 0 1 i 1 1 1 0 1 Bi 1 0 0 1 Si 1 0 0 1 1 0 Co 1 1 0 0 1 Σ S Co Ci B

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (CELT) Manual de referencia de la tarjeta BASYS 2 Álvaro de Guzmán Fernández Manual

Más detalles

Memorias ROM: FPGA-VHDL Cómo???

Memorias ROM: FPGA-VHDL Cómo??? Memorias ROM: FPGA-VHDL Cómo??? Nota Técnica 03 Cristian Sisterna Introducción Básicamente hay dos formas de que una memoria ROM sea implementada en un FPGA a partir del código VHDL: - Deduciendo la memoria

Más detalles

Introducción al lenguaje VHDL

Introducción al lenguaje VHDL Introducción al lenguaje VHDL Very High Speed Integrated Circuit Hardware Description Language (VHSIC HDL) Fernando Nuño García Área de Tecnología Electrónica Universidad de Oviedo 1 ÍNDICE 1.- Elementos

Más detalles

23 LENGUAJE DE DESCRIPCIÓN CIRCUITAL: V H D L

23 LENGUAJE DE DESCRIPCIÓN CIRCUITAL: V H D L 23 LENGUAJE DE DESCRIPCIÓN CIRCUITAL: V H D L 23.1. VHDL como lenguaje para describir, simular, validar y diseñar 23.2. VHDL básico para diseñar circuitos combinacionales 23.3. Descripción de circuitos

Más detalles

INVERSORES DE GIRO PARA MOTORES A PASOS EN DISPOSITIVOS DE LÓGICA PROGRAMABLE

INVERSORES DE GIRO PARA MOTORES A PASOS EN DISPOSITIVOS DE LÓGICA PROGRAMABLE INVERSORES DE GIRO PARA MOTORES A PASOS EN DISPOSITIVOS DE LÓGICA PROGRAMABLE Juan Carlos Herrera Lozada, Juan Carlos González Robles, Agustín Cruz Contreras Centro de Innovación y Desarrollo Tecnológico

Más detalles

Descripción con VHDL de un exponenciador para campos finitos GF(2 m )

Descripción con VHDL de un exponenciador para campos finitos GF(2 m ) Descripción con VHDL de un exponenciador para campos finitos GF(2 m ) Mario Alberto García Martínez 1, Guillermo Morales Luna 2 y Francisco Rodríguez Enríquez 2 (1) Universidad del Valle de México, campus

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 2: La Unidad Aritmético - Lógica Objetivos Comprender cómo se realiza un sumador con propagación de acarreo

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Celia López, Luis Entrena, Mario García, Enrique

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 3: Memorias Objetivos Comprender qué es y cómo funciona un banco de registros Comprender cómo se realiza

Más detalles

Diseño de Sistemas Digitales a través de Diseños Esquemáticos y VHDL Norma Frida Roffe Samaniego. Hoja de respuestas

Diseño de Sistemas Digitales a través de Diseños Esquemáticos y VHDL Norma Frida Roffe Samaniego. Hoja de respuestas Actividad integradora - Capítulo 1 Hoja de respuestas Pregunta 1: Codifique en VHDL un circuito encoder de 8 a 3. Utilice solamente funciones booleanas. El encoder debe operar de la siguiente manera: Se

Más detalles

Práctica I Contador de cinco bits

Práctica I Contador de cinco bits Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 1 Práctica I Contador de cinco bits A modo de ejemplo, vamos a mostrar como se llevaría la práctica que tendría el siguiente

Más detalles

CRISTÓBAL GARCÍA PÉREZ CRISTIAN EDWIN ARBOLEDA VALENCIA

CRISTÓBAL GARCÍA PÉREZ CRISTIAN EDWIN ARBOLEDA VALENCIA IMPLEMENTACIÓN DE TÉCNICAS DE GENERACIÓN DE MODULACIÓN POR ANCHO DE PULSO (PWM) UTILIZANDO DISPOSITIVOS DE LÓGICA PROGRAMABLE (FIELD-PROGRAMMABLE GATE ARRAY) PARA EL CONTROL DE INVERSORES TRIFÁSICOS CRISTÓBAL

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

EL LENGUAJE VHDL CONCEPTOS BÁSICOS

EL LENGUAJE VHDL CONCEPTOS BÁSICOS EL LENGUAJE VHDL CONCEPTOS BÁSICOS Introducción Entidades y arquitecturas Sentencias y procesos Objetos Tipos de datos y operadores Autores: Luis Entrena Arrontes, Celia López, Mario García, Enrique San

Más detalles

VHDL. Lenguaje de descripción hardware Tipos de datos

VHDL. Lenguaje de descripción hardware Tipos de datos VHDL. Lenguaje de descripción hardware Tipos de datos 2007 A.G.O. All Rights Reserved Tipos de objetos y datos VHDL predefine un conjunto relativamente limitado de tipos de datos, pero dispone de gran

Más detalles

INTRODUCCIÓN A LA PROGRAMACIÓN EN VHDL

INTRODUCCIÓN A LA PROGRAMACIÓN EN VHDL INTRODUCCIÓN A LA PROGRAMACIÓN EN VHDL Facultad de Informática Universidad Complutense de Madrid Marcos Sánchez-Élez marcos@ucm.es 1 F. Informática (UCM) Introducción a la programacion en VHDL por Marcos

Más detalles

DISPOSITIVOS LÓGICOS PROGRAMABLES. LENGUAJE VHDL

DISPOSITIVOS LÓGICOS PROGRAMABLES. LENGUAJE VHDL DISPOSITIVOS LÓGICOS PROGRAMABLES LENGUAJE VHDL http://es.wikibooks.org/wiki/programaci%c3%b3n_en_vhdl 2011 Tabla de contenidos ELEMENTOS SINTÁCTICOS DEL LENGUAJE VHDL... 2 OPERADORES Y EXPRESIONES...

Más detalles

Implementación de un IP Core compatible con Wishbone para el manejo del A/D MAX1241 y el D/A TLV5616

Implementación de un IP Core compatible con Wishbone para el manejo del A/D MAX1241 y el D/A TLV5616 Implementación de un IP Core compatible con Wishbone para el manejo del A/D MAX1241 y el D/A TLV5616 Iván René Morales ivan@fisica.usac.edu.gt Laboratorio de Electrónica Universidad de San Carlos de Guatemala

Más detalles

Figura 0-1: Lista de palabras reservadas del VHDL A continuación se dará una serie de ejemplos de diseños VHDL de dificultad incremental

Figura 0-1: Lista de palabras reservadas del VHDL A continuación se dará una serie de ejemplos de diseños VHDL de dificultad incremental El VHDL es un lenguaje muy amplio y fue concebido inicialmente para modelado y simulación, no para síntesis. Por tanto, no todas las descripciones VHDL son sintetizables, esto es, no todas las descripciones

Más detalles

Inversores de Giro para Motores a Pasos en Dispositivos de Lógica Programable

Inversores de Giro para Motores a Pasos en Dispositivos de Lógica Programable Inversores de Giro para Motores a Pasos en Dispositivos de Lógica Programable Juan Carlos Herrera Lozada, Juan Carlos González Robles, Agustín Cruz Contreras Profesores del CIDETEC-IPN E l control de motores

Más detalles

Tema 4. Elementos Lógicos Secuenciales

Tema 4. Elementos Lógicos Secuenciales Tema 4. Elementos Lógicos ecuenciales Introducción a los circuitos secuenciales síncronos y asíncronos. Latch -. Flip-flops de tipo clocked-latch. Flip-flops D, T, J-K. onversiones entre flip-flops. Estructuras

Más detalles