ELEMENTOS Y TIPOS DE DATOS

Tamaño: px
Comenzar la demostración a partir de la página:

Download "ELEMENTOS Y TIPOS DE DATOS"

Transcripción

1 1 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Y TIPOS DE DATOS Elementos Tipos de datos Librerías Std_logic y Std_logic_vector

2 2 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Elementos del VHDL: Comentarios Palabras reservadas. Identificadores Símbolos especiales Números Caracteres Cadenas y cadenas de bits.

3 3 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS: Comentarios y palabras reservadas Comentario: - Línea de comentario comienza con Ejemplo: -- Esto es un comentario. Palabras reservadas: - Reservadas para uso específico del lenguaje. - No pueden ser usados para definir identificadores.

4 4 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS: Identificadores Identificadores: - Utilizados por usuario para nombrar objetos. - Se deben usar nombres que indiquen su propósito. - Pueden ser de cualquier longitud. - Reglas: 1. Deben empezar con una letra del alfabeto. 2. Pueden contener: - Letras del alfabeto ( A Z, a z ) - Números decimales ( 0 9 ). - Caracteres _. 3. No pueden terminar en _. 4. No pueden tener _ sucesivos.

5 5 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS: Símbolos especiales Símbolos especiales - Operadores: + - * / & ( ) = > < - Delimitan partes de las construcciones: # ` [ ] - Delimitadores léxicos:., ; : - Símbolos con dos caracteres: := => /= >= <= **

6 6 ELEMENTOS Y TIPOS DE DATOS Operadores Operadores lógicos and or nand nor xor not xnor Operadores relacionales = /= < <= > >= Precedencia de operadores Highest Lowest not = /= < <= > >= and or nand nor xor xnor

7 7 ELEMENTOS Y TIPOS DE DATOS Concatenación de vectores signal A: STD_LOGIC_VECTOR(3 downto 0); signal B: STD_LOGIC_VECTOR(3 downto 0); signal C, D, E: STD_LOGIC_VECTOR(7 downto 0); A <= 0000 ; B <= 1111 ; C <= A & B; -- C = D <= 0 & ; -- D <= E <= 0 & 0 & 0 & 0 & 1 & 1 & 1 & 1 ; -- E <=

8 8 ELEMENTOS Y TIPOS DE DATOS Rotación de vectores.

9 9 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS: Números Números Dos tipos: Entero y real. Ambos tipos pueden ser representados en notación exponencial (46E5, 1.34E5).

10 10 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS: Caracteres, cadenas y cadenas de bits Caracteres - Escritos entre comillas. Ejemplo: A, z. Cadenas: - Escritos entre doble comilla. Ejemplo: abcdefg, Operación de concatenación con &. Ejemplo: abc & def => abcdef. Cadenas de bits: - Cadenas de números binarios, octales o hexadecimales. - Escritos entre doble comilla y precedidos de la base: B 10000, O 20, X 10.

11 11 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS. VHDL es un lenguaje basado en tipos de datos. Cada tipo determina las operaciones que pueden ser realizadas. Tipos pre-definidos: Integer Floating-point Physical Enumeration Array Record El usuario puede definir nuevos tipos.

12 12 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS. Types Access Composite Scalar Array Record Integer Real Enumerated Physical

13 13 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Integer Tipo predefinido para representar números enteros. Lenguaje estándar: Números en el rango: El usario puede definir un sub-rango diferente:

14 14 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Integer Ejemplo: ARCHITECTURE test_int OF test IS BEGIN PROCESS (X) VARIABLE a: INTEGER; BEGIN a := 1; -- OK a := -1; -- OK a := 1.0; -- illegal END PROCESS; END test_int;

15 15 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Integer, operaciones Suma: + Resta o negación: - Multiplicación: * División: / Módulo: mod (-5) mod 3 = 1 Resto: rem (-5) rem 3 = -2 Valor absoluto: abs Exponenciación: ** Lógicos: =, /=, <, >, <=, >=

16 16 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Floating-Point Tipo predefinido para representar números en punto flotante. El usario puede definir un sub-rango diferente:

17 17 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Floating-Point Ejemplo: ARCHITECTURE test_real OF test IS BEGIN PROCESS (X) VARIABLE a: REAL; BEGIN a := 1.3; -- OK a := -7.5; -- OK a := 1; -- illegal a := 1.7E13; -- OK a := 5.3 ns; -- illegal END PROCESS; END test_real;

18 18 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Floating-Point, operaciones Suma: + Resta o negación: - Multiplicación: * División: / Valor absoluto: abs Exponenciación: ** Lógicos: =, /=, <, >, <=, >=

19 19 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Physical Usados para representar valores físicos (longitud, masa, tiempo y corriente). Definión similar al tipo de datos enteros, pero con definición adicional de unidad. Primary unit primer identificador después de la palabra units : es la unidad más pequeña.

20 20 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Physical Ejemplo: TYPE resistance IS RANGE 0 TO UNITS ohm; -- ohm Kohm = 1000 ohm; -- i.e. 1 K Mohm = 1000 kohm; -- i.e. 1 M END UNITS;

21 21 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Physical, operaciones Suma: + Resta o negación: - Multiplicación por entero o real.: * División por entero o real: / Valor absoluto: abs Exponenciación: ** Lógicos: =, /=, <, >, <=, >=

22 22 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Physical, ejemplo. Time: Es un tipo predefinido, usado para medir tiempos en simulaciones y para generar relojes. Time: No es sintetizable

23 23 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Physical, ejemplo. Time: Simulación de un período de reloj (10ns).

24 24 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Enumerated Se pueden utilizar para dar valores o nombres a un objeto. Ejemplo: cuando se modela un procesador, para nombrar explícitamente las funciones que implementa (legibilidad del código).

25 25 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Enumerated Ejemplo: TYPE binary IS ( ON, OFF );... some statements... ARCHITECTURE test_enum OF test IS BEGIN PROCESS (X) VARIABLE a: binary; BEGIN a := ON; -- OK... more statements... a := OFF; -- OK... more statements... END PROCESS; END test_enum;

26 26 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Enumerated (Boolean) Es uno de los tipos enumerated predefinidos más útiles. Usado para representar valores de condición para control.

27 27 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Boolean, operaciones Muchas operaciones VHDL aplicadas a otros tipos de datos tienen como resultado un valor booleano: Relaciones: Igualdad ( = ) o desigualdad ( /= ) aplicado a datos del mismo tipo: Ejemplo: 32=32 (true), `VHDL /= `Verilog (true). Relaciones: Menor ( < ), menor-igual ( <= ), mayor ( > ), mayor-igual ( >= ). Aplicable a tipos con orden (enteros, caracteres), ambos operandos del mismo tipo. Ejemplo: 827 > 789 (true), `1 < `0 (false).

28 28 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Boolean, operaciones Operadores lógicos: and, or, nand, nor, xor, xnor, not. Todos los operandos booleanos. Resultado booleano. Ejemplo:

29 29 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Boolean, síntesis Síntesis del tipo booleano: true se sintetiza como el valor lógico 1 (vdd) false se sintetiza como el valor lógico 0 (gnd)

30 30 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Enumerated (Bit) Es uno de los tipos enumerated predefinidos. Es el tipo más frecuentemente utilizado. Diferencias: Valores Booleanos: Condiciones de control. Bits: representan niveles de voltaje.

31 31 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Bit, operaciones Operaciones: Lógicas: =, /=, <, >, <=, >= Booleanas: and, or, nand, nor, xor, xnor, not Shift: sll, srl, sla, sra, rol, ror Ejemplos:

32 32 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Enumerated (Characters) Es uno de los tipos enumerated predefinidos.

33 33 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Enumerated (Characters) Escritos entre comas sencillas. `A, `k Ejemplo: Cada carácter se representa con un número binario de 8 bits (formato ASCII).

34 34 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays array_type <= array ( discrete_range {, } ) of element_subtype_indication ; discrete_range <= discrete_subtype_indication expr ( to downto ) expr discrete_subtype_indication <= type_mark [ range expr ( to downto ) expr ]

35 35 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays type word1 is array (0 to 31) of bit; type word2 is array (31 downto 0) of bit; type state is (initial, idle, active, error); type state_counts1 is array (state) of natural; type state_counts2 is array (state range initial to active) of natural;

36 36 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays Ejemplo: TYPE data_bus IS ARRAY(0 TO 31) OF BIT; VARIABLE X : data_bus; VARIABLE Y : BIT; Y := X(12); -- Y gets value of element at index 12

37 37 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays Ejemplo: TYPE reg_type IS ARRAY(15 DOWNTO 0) OF BIT; VARIABLE X : reg_type; VARIABLE Y : BIT; Y := X(4); -- Y gets value of element at index 4

38 38 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays multidimensionales type symbol is ( a, t, d, h ); type state is range 0 to 6; type trans_matrix is array(state, symbol) of state; variable trans_table: trans_matrix; trans_table(0, a ) := 1;

39 39 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Unconstrained Arrays array_type <= array ( ( type_mark range <> ) {, } ) of element_subtype_indication ; type sample is array (natural range <>) variable short: sample (0 to 63); of integer;

40 40 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays (cadenas) string es un unconstrained array de tipo carácter. variable name: string (0 to 11) := (others => );

41 41 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays (vectores de bits) bit_vector es un unconstrained array de tipo bit. variable byte: bit_vector(0 to 7);

42 42 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays (vectores de bits) Operaciones sobre buses tipo bit.

43 43 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays, operaciones and, or, nand, nor, xor, xnor Pueden ser aplicados a arrays 2D del mismo tamaño y del mismo tipo (bit o booleano). sll, slr, sal, sar, rol, ror Pueden ser aplicados a un array 1D de tipo bit o booleano. sll or slr llenado con cero. sla or sra llenado con copias del elemento. rol and ror rotan. Operadores relacionales: <, >, <=, >=, =, /= Concatenación: &

44 44 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Arrays, secciones y conversión type array1 is array (1 to 100) of integer; variable a1,b1: array1; b1(1 to 50) := a1(51 to 100); -- array slices subtype big_type is bit_vector(0 to 15); subtype little_type is bit_vector(31 downto 16); variable big : big_type; variable little : little_type; big := little; -- array conversion

45 45 ELEMENTOS Y TIPOS DE DATOS TIPOS DE DATOS: Records Se utilizan para agrupar diferentes tipos en un único objeto. TYPE binary IS ( ON, OFF ); TYPE switch_info IS RECORD status : BINARY; IDnumber : INTEGER; END RECORD; VARIABLE switch : switch_info; switch.status := ON; -- status of the switch switch.idnumber := 30; -- e.g. number of the switch

46 46 ELEMENTOS Y TIPOS DE DATOS LIBRERÍAS Librería IEEE Cargando librería:

47 47 ELEMENTOS Y TIPOS DE DATOS LIBRERÍAS Paquetes estándar que definen operaciones y tipos de datos normalmente utilizados. Std_Logic_1164: Std_ulogic Std_ulogic_vector Std_logic Std_logic_vector Incluir en todos los diseños.

48 48 ELEMENTOS Y TIPOS DE DATOS DESVENTAJAS TIPO BIT Elementos únicos: `0 y `1 No suficiente para modelar el comportamiento de hardware real. No es posible indicar: Valores sin inicializar. Valores desconocidos (bus atacado simultáneamente por dos fuentes). Tri-state o alta impedancia.

49 49 ELEMENTOS Y TIPOS DE DATOS TIPOS Std_logic y Std_ulogic Parte de la librería IEEE (paquete std_logic_1164). Diseñado para modelar señales eléctricas.

50 50 ELEMENTOS Y TIPOS DE DATOS TIPOS Std_logic y Std_ulogic: Ejemplo Buffer Tri-state Errores en la señal de enable no afectan a data_out

51 51 ELEMENTOS Y TIPOS DE DATOS Std_logic vs. Std_ulogic Sistemas con 9 valores lógicos. Std_logic: resuelve de acuerdo a la tabla de resolución.

52 52 ELEMENTOS Y TIPOS DE DATOS Std_logic vs. Std_ulogic Std_ulogic: no puede estar atacado por más de un driver. En otro caso se producen errores. Bueno para diseños sin buses tri-state. Std_logic: permite múltiples drivers. Puede ser usado en diseños tri-state.

53 53 ELEMENTOS Y TIPOS DE DATOS Std_logic vs. Std_ulogic: Ejemplo Std_logic bus con múltiples drivers

54 54 ELEMENTOS Y TIPOS DE DATOS Std_ulogic_vector, Std_logic_vector Unconstrained arrays de valores std_ulogic y std_logic, respectivamente.

55 55 ELEMENTOS Y TIPOS DE DATOS Std_logic_vector

56 56 ELEMENTOS Y TIPOS DE DATOS Std_logic_vector signal A: STD_LOGIC; signal B: STD_LOGIC_VECTOR(3 downto 0); signal C: STD_LOGIC_VECTOR(3 downto 0); signal D: STD_LOGIC_VECTOR(7 downto 0); signal E: STD_LOGIC_VECTOR(15 downto 0); signal F: STD_LOGIC_VECTOR(8 downto 0);. A <= 1 ; B <= 0000 ; -- Binary base assumed by default C <= B 0000 ; -- Binary base explicitly specified D <= 0110_0111 ; -- You can use _ to increase readability E <= X AF67 ; -- Hexadecimal base F <= O 723 ; -- Octal base

57 57 ELEMENTOS Y TIPOS DE DATOS Funciones aritméticas Para usar funciones aritméticas con std_logic_vectors hay que incluir los siguientes paquetes: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

58 58 ELEMENTOS Y TIPOS DE DATOS Funciones aritméticas

V. Elementos de la Arquitectura

V. Elementos de la Arquitectura V. Elementos de la Arquitectura 1 Tipos de Datos Todos los sistemas digitales manejan la información como bits o vectores de bits. Sin embargo no es posible ni conveniente usar sólo estos tipos para todos

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

VHDL. Lenguaje de descripción hardware Tipos de datos

VHDL. Lenguaje de descripción hardware Tipos de datos VHDL. Lenguaje de descripción hardware Tipos de datos 2007 A.G.O. All Rights Reserved Tipos de objetos y datos VHDL predefine un conjunto relativamente limitado de tipos de datos, pero dispone de gran

Más detalles

Elementos léxicos del lenguaje de programación Java

Elementos léxicos del lenguaje de programación Java Elementos léxicos del lenguaje de programación Java Elementos léxicos del lenguaje de programación Java Palabras reservadas Identificadores Literales Operadores Delimitadores Comentarios Apéndices Operadores

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Tema 3 Elementos básicos de programación

Tema 3 Elementos básicos de programación Representación de Datos y Aplicaciones Tema 3 Elementos básicos de programación Natividad Martínez Madrid nati@it.uc3m.es Objetivos del tema 3 Conocer la estructura básica de un programa Java Comprender

Más detalles

VHDL: Tipos de datos. Arquitectura del Computador 2017

VHDL: Tipos de datos. Arquitectura del Computador 2017 VHDL: Tipos de datos Arquitectura del Computador 2017 Paquetes y librerías Package standard de library std: Define BIT, BOOLEAN, INTEGER y REAL. Package std_logic_1164 de library ieee: Define STD_LOGIC

Más detalles

Sistemas de numeración, operaciones y códigos.

Sistemas de numeración, operaciones y códigos. Tema : Sistemas de numeración, operaciones y códigos. Para representar ideas, los seres humanos (al menos los occidentales) utilizamos cadenas de símbolos alfanuméricos de un alfabeto definido. En el mundo

Más detalles

Tipos de datos en VHDL

Tipos de datos en VHDL Tipos de datos en VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

ACTIONSCRIPT (AS) Proyectos II. Audiovisuales. Dpto. Escultura. UPV. [sintaxis elemental]

ACTIONSCRIPT (AS) Proyectos II. Audiovisuales. Dpto. Escultura. UPV. [sintaxis elemental] ACTIONSCRIPT (AS) Proyectos II. Audiovisuales. Dpto. Escultura. UPV. [sintaxis elemental] Action script es el lenguaje de programación que lleva incorporado el software de creación multimedia Macromedia

Más detalles

Tema 2. El lenguaje de programación Java (Parte 1)

Tema 2. El lenguaje de programación Java (Parte 1) Programación en Java Tema 2. El lenguaje de programación Java (Parte 1) Luis Rodríguez Baena Facultad de Informática Elementos del lenguaje (I) El juego de caracteres. No utiliza ASCII, sino Unicode de

Más detalles

TEMA 1 Representación de la información

TEMA 1 Representación de la información TEMA 1 Representación de la información Tema 1: Representación de la información. Aritmética y Representación binaria 1) Introducción BB1, Cap 2, Ap: 2.1, 2.2.1 2) Sistemas binario-octal-hexadecimal BB1,

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

Capítulo 1: Sistemas de representación numérica Introducción. Dpto. de ATC, Universidad de Sevilla - Página 1 de 8

Capítulo 1: Sistemas de representación numérica Introducción. Dpto. de ATC, Universidad de Sevilla - Página 1 de 8 Dpto. de ATC, Universidad de Sevilla - Página de Capítulo : INTRODUCCIÓN SISTEMAS DE REPRESENTACIÓN NUMÉRICA Introducción Bases de numeración Sistema decimal Sistema binario Sistema hexadecimal REPRESENTACIÓN

Más detalles

UNIDAD 2 Configuración y operación de un sistema de cómputo Representación de datos Conceptos El concepto de bit (abreviatura de binary digit) es fundamental para el almacenamiento de datos Puede representarse

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

Materia Introducción a la Informática

Materia Introducción a la Informática Materia Introducción a la Informática Unidad 1 Sistema de Numeración Ejercitación Prof. Alejandro Bompensieri Introducción a la Informática - CPU Ejercitación Sistemas de Numeración 1. Pasar a base 10

Más detalles

Representación de la Información

Representación de la Información Representar: Expresar una información como una combinación de símbolos de un determinado lenguaje. Trece -> símbolos 1 y 3 Interpretar: Obtener la información originalmente representada a partir de una

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Introducción a la Programación 11 O. Humberto Cervantes Maceda

Introducción a la Programación 11 O. Humberto Cervantes Maceda Introducción a la Programación 11 O Humberto Cervantes Maceda Recordando En la sesión anterior vimos que la información almacenada en la memoria, y por lo tanto aquella que procesa la unidad central de

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

Unidad de trabajo 2: INFORMÁTICA BÁSICA (primera parte)

Unidad de trabajo 2: INFORMÁTICA BÁSICA (primera parte) Unidad de trabajo 2: INFORMÁTICA BÁSICA (primera parte) Unidad de trabajo 2: INFORMÁTICA BÁSICA... 1 1. Representación interna de datos.... 1 1.2. Sistemas de numeración.... 2 1.3. Aritmética binaria...

Más detalles

Naturaleza binaria. Conversión decimal a binario

Naturaleza binaria. Conversión decimal a binario Naturaleza binaria En los circuitos digitales sólo hay 2 voltajes. Esto significa que al utilizar 2 estados lógicos se puede asociar cada uno con un nivel de tensión, así se puede codificar cualquier número,

Más detalles

Operadores y atributos en VHDL [1]

Operadores y atributos en VHDL [1] Operadores y atributos en VHDL [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014

Más detalles

TEMA 2. Agenda. Fundamentos de JAVA

TEMA 2. Agenda. Fundamentos de JAVA TEMA 2 Fundamentos de JAVA V1.3 Manuel Pereira González Agenda Introducción Historia de Java Características Principales Hello World Tipos Operadores Control de Flujo E/S básica Atributos y Métodos Resumen

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

1. Informática e información. 2. Sistemas de numeración. 3. Sistema binario, operaciones aritméticas en binario, 4. Sistemas octal y hexadecimal. 5.

1. Informática e información. 2. Sistemas de numeración. 3. Sistema binario, operaciones aritméticas en binario, 4. Sistemas octal y hexadecimal. 5. Representación de la información Contenidos 1. Informática e información. 2. Sistemas de numeración. 3. Sistema binario, operaciones aritméticas en binario, 4. Sistemas octal y hexadecimal. 5. Conversiones

Más detalles

Prof. Dr.-Ing. F. Schubert VHDL

Prof. Dr.-Ing. F. Schubert VHDL Very high speed integrated circuits Hardware Description Language standardizado en 1987 por I (Institute of lectrical and lectronics ngineers) como I 1076-1987 87 Revisionado en 1993 I 1076-1993 93 SIMULADOR

Más detalles

Clase 02: Representación de datos

Clase 02: Representación de datos Arquitectura de Computadores y laboratorio Clase 02: Representación de datos Departamento de Ingeniería de Sistemas Universidad de Antioquia 2015-2 Contenido 1 2 Representación de la Información Y sistemas

Más detalles

!!!!!!!! !!!!! Práctica!4.! Programación!básica!en!C.! ! Grado!en!Ingeniería!!en!Electrónica!y!Automática!Industrial! ! Curso!2015H2016!

!!!!!!!! !!!!! Práctica!4.! Programación!básica!en!C.! ! Grado!en!Ingeniería!!en!Electrónica!y!Automática!Industrial! ! Curso!2015H2016! INFORMÁTICA Práctica4. ProgramaciónbásicaenC. GradoenIngenieríaenElectrónicayAutomáticaIndustrial Curso2015H2016 v2.1(18.09.2015) A continuación figuran una serie de ejercicios propuestos, agrupados por

Más detalles

CONCEPTOS BASICOS DEL LENGUAJE JAVA

CONCEPTOS BASICOS DEL LENGUAJE JAVA CONCEPTOS BASICOS DEL LENGUAJE JAVA NOMENCLATURA GENERAL En Java se distinguen las letras mayúsculas y minúsculas. Las reglas del lenguaje respecto a los nombres de variables son muy amplias y permiten

Más detalles

Por ejemplo convertir el número 131 en binario se realiza lo siguiente: Ahora para convertir de un binario a decimal se hace lo siguiente:

Por ejemplo convertir el número 131 en binario se realiza lo siguiente: Ahora para convertir de un binario a decimal se hace lo siguiente: Como convertir números binarios a decimales y viceversa El sistema binario es un sistema de numeración en el que los números se representan utilizando 0 y 1. Es el que se utiliza en los ordenadores, pues

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

Fundamentos de Informática 1er curso de ingeniería Industrial. Tema 2. Datos. Tema 2. Datos

Fundamentos de Informática 1er curso de ingeniería Industrial. Tema 2. Datos. Tema 2. Datos Fundamentos de Informática 1er curso de ingeniería Industrial Tema 2. Datos 1 Tema 2. Datos 2.1 Codificación binaria 2.2 Tipos de datos 2.3 Operaciones básicas 2.4 Expresiones 2.5 Almacenamiento 2 1 2.1

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN I. P. N. ESIME Unidad Culhuacan INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO

Más detalles

INFORMÁTICA. Práctica 5. Programación en C. Grado en Ingeniería en Electrónica y Automática Industrial. Curso 2013-2014. v1.0 (05.03.

INFORMÁTICA. Práctica 5. Programación en C. Grado en Ingeniería en Electrónica y Automática Industrial. Curso 2013-2014. v1.0 (05.03. INFORMÁTICA Práctica 5. Programación en C. Grado en Ingeniería en Electrónica y Automática Industrial Curso 2013-2014 v1.0 (05.03.14) A continuación figuran una serie de ejercicios propuestos, agrupados

Más detalles

Arrays y Cadenas en C

Arrays y Cadenas en C Arrays y Cadenas en C Los arrays son variables estructuradas, donde cada elemento se almacena de forma consecutiva en memoria. Las cadenas de caracteres son declaradas en C como arrays de caracteres y

Más detalles

Tema 2. La Información y su representación

Tema 2. La Información y su representación Tema 2. La Información y su representación 2.1 Introducción. Un ordenador es una máquina que procesa información. La ejecución de un programa implica la realización de unos tratamientos, según especifica

Más detalles

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO ENTIDAD: ARQUITECTURA: ENTITY IS PORT : : END ; = IN / OUT / IN OUT ARCHITECTURE

Más detalles

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera.

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Simulación avanzada con Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Desc. del Problema Descripción HDL Synthesis Place and Route / Fit 2 - Generalidades - Generación de Estímulos Agenda - Asignaciones

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 2: La Unidad Aritmético - Lógica Objetivos Comprender cómo se realiza un sumador con propagación de acarreo

Más detalles

PL/SQL. Con PL/SQL vamos a poder programar las unidades de programa de la base de datos Oracle:

PL/SQL. Con PL/SQL vamos a poder programar las unidades de programa de la base de datos Oracle: PL/SQL (Procedural Language/Structured Query Language) PL/SQL es el lenguaje de programación que proporciona Oracle para extender el SQL estándar con otro tipo de instrucciones y elementos propios de los

Más detalles

Sistemas de Numeración Operaciones - Códigos

Sistemas de Numeración Operaciones - Códigos Sistemas de Numeración Operaciones - Códigos Tema 2 1. Sistema decimal 2. Sistema binario 3. Sistema hexadecimal 4. Sistema octal 5. Conversión decimal binario 6. Aritmética binaria 7. Complemento a la

Más detalles

1 ELEMENTOS BASICOS DEL LENGUAJE

1 ELEMENTOS BASICOS DEL LENGUAJE 1 ELEMENTOS SICOS DEL LENGUJE Contenido: Variables su declaracion y asignacion Caracteres en java Secuencias de escape y comentarios Tipos de datos Operadores aritméticos, relacionales y lógicos Entrada

Más detalles

Capítulo 2 REPRESENTACIÓN DE LOS DATOS. Presentación resumen del libro: "EMPEZAR DE CERO A PROGRAMAR EN lenguaje C"

Capítulo 2 REPRESENTACIÓN DE LOS DATOS. Presentación resumen del libro: EMPEZAR DE CERO A PROGRAMAR EN lenguaje C Presentación resumen del libro: "EMPEZAR DE CERO A PROGRAMAR EN lenguaje C" Autor: Carlos Javier Pes Rivas (correo@carlospes.com) Capítulo 2 REPRESENTACIÓN DE LOS DATOS 1 OBJETIVOS Entender cómo la computadora

Más detalles

4.3. Lenguaje VHDL Declaración de Packages

4.3. Lenguaje VHDL Declaración de Packages 4.3. Lenguaje VHDL El lenguaje VHDL (Very High Speed Integrates Circuit Hardware Description Language) es un lenguaje estándar utilizado para la descripción de los sistemas digitales. En este apartado

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

21/02/2012. Agenda. Unidad Central de Procesamiento (CPU)

21/02/2012. Agenda. Unidad Central de Procesamiento (CPU) Agenda 0 Tipos de datos 0 Sistemas numéricos 0 Conversión de bases 0 Números racionales o Decimales 0 Representación en signo-magnitud 0 Representación en complemento Unidad Central de Procesamiento (CPU)

Más detalles

Estructura de Datos. Unidad I Tipos de Datos

Estructura de Datos. Unidad I Tipos de Datos Estructura de Datos Unidad I Tipos de Datos Conceptos Básicos Algoritmo: es una secuencia finita de pasos o instrucciones ordenadas crono-lógicamente que describen un método para resolver un problema específico.

Más detalles

2.4. Modos de direccionamiento Distintas formas que tiene la arquitectura para especificar la ubicación de los operandos.

2.4. Modos de direccionamiento Distintas formas que tiene la arquitectura para especificar la ubicación de los operandos. 2.4. Modos de direccionamiento Distintas formas que tiene la arquitectura para especificar la ubicación de los operandos. Objetivos: - Reducir el número de bits para especificar un operando en memoria.

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Operaciones y Expresiones METATRADER, CONCEPTOS BÁSICOS DE PROGRAMACIÓN III

Operaciones y Expresiones METATRADER, CONCEPTOS BÁSICOS DE PROGRAMACIÓN III Operaciones y Expresiones METATRADER, CONCEPTOS BÁSICOS DE PROGRAMACIÓN III Introducción Operaciones y Expresiones. Operadores Aritméticos Operadores de Asignación Operadores de Relación Operadores Lógicos

Más detalles

VII. Múltiples Procesos en una Arquitectura. F. Santiago E.

VII. Múltiples Procesos en una Arquitectura. F. Santiago E. VII. Múltiples Procesos en una Arquitectura 1 Introducción El Mundo No es Secuencial. Todos los vehículos se mueven al mismo tiempo, es decir, concurrentemente. Cada vehículo es un sistema, se tienen sistemas

Más detalles

Identificadores, palabras reservadas, tipos de datos, operadores aritméticos y el sistema estándar de salida en Java

Identificadores, palabras reservadas, tipos de datos, operadores aritméticos y el sistema estándar de salida en Java Identificadores, palabras reservadas, tipos de datos, operadores aritméticos y el sistema estándar de salida en Java Identificadores Las variables se utilizan en programación para almacenar temporalmente

Más detalles

En la actualidad ASCII es un código de 8 bits, también conocido como ASCII extendido, que aumenta su capacidad con 128 caracteres adicionales

En la actualidad ASCII es un código de 8 bits, también conocido como ASCII extendido, que aumenta su capacidad con 128 caracteres adicionales Definición(1) Sistemas numéricos MIA José Rafael Rojano Cáceres Arquitectura de Computadoras I Un sistema de representación numérica es un sistema de lenguaje que consiste en: un conjunto ordenado de símbolos

Más detalles

Curso PHP Módulo 1 R-Luis

Curso PHP Módulo 1 R-Luis Lenguaje PHP Introducción Archivos HTML y PHP: Crear un archivo php es tan sencillo como cambiarle la extensión a un archivo html, por ejemplo podemos pasar de index.html a index.php sin ningún inconveniente.

Más detalles

TEMA II REPASO. SISTEMAS DE NUMERACIÓN USUALES EN INFORMÁTICA.

TEMA II REPASO. SISTEMAS DE NUMERACIÓN USUALES EN INFORMÁTICA. TEMA II REPASO. SISTEMAS DE NUMERACIÓN USUALES EN INFORMÁTICA. INTRODUCCIÓN. Entendemos por sistema de numeración, la forma de representar cantidades mediante un sistema de valor posicional. Los ordenadores

Más detalles

INFORMÁTICA. Matemáticas aplicadas a la Informática

INFORMÁTICA. Matemáticas aplicadas a la Informática ACCESO A CICLO SUPERIOR INFORMÁTICA Matemáticas aplicadas a la Informática http://trasteandoencontre.km6.net/ 1 Acceso a grado Superior. Informática 1. Unidades de medida en informática Como sabemos, el

Más detalles

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

PRACTICA 3. Lenguaje de descripción de hardware VHDL. Lenguaje de descripción de hardware VHDL. Objetivo: El alumno analizara de que partes escenciales conta un codigo hecho atravez del lenguaje de descripcion de hardware VHDL, asi tambien que implica la

Más detalles

INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU. Nelson Antonio Becerra Carrillo

INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU. Nelson Antonio Becerra Carrillo INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU Nelson Antonio Becerra Carrillo nelsonabc25@hotmail.com Jaime Alberto López Rincón jaimealopezr@yahoo.com Universidad

Más detalles

EJERCICIOS DEL TEMA 1

EJERCICIOS DEL TEMA 1 EJERCICIOS DEL TEMA 1 Introducción a los ordenadores 1) Averigua y escribe el código ASCII correspondiente, tanto en decimal como en binario, a las letras de tu nombre y apellidos. Distinguir entre mayúsculas/minúsculas,

Más detalles

Representación de Datos. Una Introducción a los Sistemas Numéricos

Representación de Datos. Una Introducción a los Sistemas Numéricos Representación de Datos Una Introducción a los Sistemas Numéricos Tipos de Datos Datos Texto Número Imagen Audio Video Multimedia: Información que contiene números, texto, imágenes, audio y video. Como

Más detalles

by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true

by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true I. FUNDAMENTOS 3. Representación de la información Introducción a la Informática Curso de Acceso a la Universidad

Más detalles

TEMA II: REPRESENTACIÓN DE LA INFORMACIÓN

TEMA II: REPRESENTACIÓN DE LA INFORMACIÓN TEMA II: REPRESENTACIÓN DE LA INFORMACIÓN 2.1. Introducción. El computador procesa información. Para que un ordenador ejecute unos datos es necesario darle dos tipos de información: las instrucciones que

Más detalles

LENGUAJE VHDL. Ing. Wilmer Naranjo 1

LENGUAJE VHDL. Ing. Wilmer Naranjo 1 LENGUAJE VHDL Ing. Wilmer Naranjo 1 DESCRIPCIÓN EN VHDL Al inicio de los 80 s diversos grupos de investigadores empiezan a crear y desarrollar los llamados "lenguajes de descripción de hardware" cada uno

Más detalles

TECNOLOGÍA 4º ESO. 20 2 Realizando la lectura como indica la flecha 0 10 2 obtenemos: 20 10) =10100 2) 0 5 2 1 2 2 0 1 Lectura

TECNOLOGÍA 4º ESO. 20 2 Realizando la lectura como indica la flecha 0 10 2 obtenemos: 20 10) =10100 2) 0 5 2 1 2 2 0 1 Lectura Ejercicio Nº1 : La electrónica digital trabaja con dos niveles de tensión 0 V ó 5 voltios, equivalentes a 0 y 1, es decir, ausencia de tensión y presencia de tensión. Al trabajar sólo con dos niveles de

Más detalles

El sistema decimal, es aquél en el que se combinan 10 cifras (o dígitos) del 0 al 9 para indicar una cantidad específica.

El sistema decimal, es aquél en el que se combinan 10 cifras (o dígitos) del 0 al 9 para indicar una cantidad específica. 5.2 SISTEMAS DE NUMERACIÓN. DECIMAL El sistema decimal, es aquél en el que se combinan 10 cifras (o dígitos) del 0 al 9 para indicar una cantidad específica. La base de un sistema indica el número de caracteres

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Tema 2. Funciones Lógicas Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Representación de Circuitos Digitales Representación esquemática. Representación

Más detalles

Programa Java. El lenguaje de programación Java. Comentarios. Programa Java. Palabras reservadas. Identificadores

Programa Java. El lenguaje de programación Java. Comentarios. Programa Java. Palabras reservadas. Identificadores El lenguaje de programación Java Programa Java Un programa Java está formado por un conjunto de clases que interactúan entre sí La clase es la unidad básica de programación La ejecución depende de la clase

Más detalles

1. SISTEMAS DIGITALES

1. SISTEMAS DIGITALES 1. SISTEMAS DIGITALES DOCENTE: ING. LUIS FELIPE CASTELLANOS CASTELLANOS CORREO ELECTRÓNICO: FELIPECASTELLANOS2@HOTMAIL.COM FELIPECASTELLANOS2@GMAIL.COM PAGINA WEB MAESTROFELIPE.JIMDO.COM 1.1. INTRODUCCIÓN

Más detalles

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950).

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Código binario en Sistemas Digitales Historia Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Circuitos integrados

Más detalles

Representación de Datos. Representación de datos numéricos. Representación de datos caracteres. Representación de otros tipos de datos

Representación de Datos. Representación de datos numéricos. Representación de datos caracteres. Representación de otros tipos de datos Representación de Datos Representación de datos numéricos Representación de datos caracteres Representación de otros tipos de datos Sistemas de números Base Esquema posicional => N = n4 * b4 + n3 * b3

Más detalles

UD 1. Representación de la información

UD 1. Representación de la información UD 1. Representación de la información 1.1 INTRODUCCION... 1 1.2 SISTEMAS DE REPRESENTACIÓN... 2 1.2.1 El Sistema Decimal.... 2 1.2.2 Teorema Fundamental de la Numeración. (TFN)... 2 1.2.3 El Sistema Binario....

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Tema 1 - Sistemas numéricos y códigos

Tema 1 - Sistemas numéricos y códigos - y códigos Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx Oficina:

Más detalles

SITEMA BINARIO, OCTAL Y HEXADECIMAL: OPERACIONES

SITEMA BINARIO, OCTAL Y HEXADECIMAL: OPERACIONES Unidad Aritmética Lógica La Unidad Aritmético Lógica, en la CPU del procesador, es capaz de realizar operaciones aritméticas, con datos numéricos expresados en el sistema binario. Naturalmente, esas operaciones

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal)

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Unidad I Sistemas numéricos 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS.

Más detalles

Operaciones Aritméticas en Números con Signo

Operaciones Aritméticas en Números con Signo Operaciones Aritméticas en Números con Signo M. en C. Erika Vilches Parte 3 Multiplicación sin Signo Reglas básicas para multiplicar bits: 0x0 = 0 0x1 = 0 1x0 = 0 1x1 = 1 Ejemplos en números sin signo:

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

FUNCIONAMIENTO DIGITAL DE UN SISTEMA. EL SISTEMA BINARIO. Sistema Digital. Fr. Casares. Sistema Digital. Sistema Digital

FUNCIONAMIENTO DIGITAL DE UN SISTEMA. EL SISTEMA BINARIO. Sistema Digital. Fr. Casares. Sistema Digital. Sistema Digital FUNCIONAMIENTO DIGITAL Sistema Digital -Emplea dispositivos en los que solo son posibles dos estados DE UN SISTEMA. EL SISTEMA BINARIO Relé Elemento Válvula Situación (Falso) (Verdadero) Desactivado Cerrada

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

OR (+) AND( ). AND AND

OR (+) AND( ). AND AND Algebra de Boole 2.1.Introducción 2.1. Introducción El Algebra de Boole es un sistema matemático que utiliza variables y operadores lógicos. Las variables pueden valer 0 o 1. Y las operaciones básicas

Más detalles

Práctica 6. Diseño Lógico Digital mediante VHDL

Práctica 6. Diseño Lógico Digital mediante VHDL Práctica 6. Diseño Lógico Digital mediante VHDL 6.1. Objetivos Aprender a diseñar circuitos lógicos digitales mediante el uso de lenguajes de descripción de hardware como VHDL. 6.2. Introducción al VHDL

Más detalles

TEMA 1: SISTEMAS INFORMÁTICOS. Parte 2: representación de la información

TEMA 1: SISTEMAS INFORMÁTICOS. Parte 2: representación de la información TEMA 1: SISTEMAS INFORMÁTICOS Parte 2: representación de la información Qué vamos a ver? Cómo se representa y almacena la información en un ordenador Cómo podemos relacionar la información que entendemos

Más detalles

Escuela Politécnica Superior de Ingeniería Departamento de Ingeniería Informática

Escuela Politécnica Superior de Ingeniería Departamento de Ingeniería Informática Escuela Politécnica Superior de Ingeniería Departamento de Ingeniería Informática Fundamentos de la informática 2. Algoritmos, diagramas de flujo y pseudocódigo Contenido Algoritmos Diagramas de flujo

Más detalles

Conceptos básicos: 1,2 puntos

Conceptos básicos: 1,2 puntos Procesadores del Lenguaje 1 Universidad Rey Juan Carlos Departamento de Lenguajes y Sistemas Informáticos I 8 de junio de 2009 Parcial primero. Conceptos básicos y problemas. Entrega en 90 minutos. Lea

Más detalles

Modulo 1 El lenguaje Java

Modulo 1 El lenguaje Java Modulo 1 El lenguaje Java 13 - Codificación en Java Una de las grandes diferencias entre Java y Pascal en cuando a la codificación es que Java se trata de un lenguaje de los llamados case sensitive Esto

Más detalles

Capítulo. Cálculos con números binarios, octales, decimales y hexadecimales

Capítulo. Cálculos con números binarios, octales, decimales y hexadecimales Capítulo 5 Cálculos con números binarios, octales, decimales y hexadecimales Esta calculadora es capaz de realizar las operaciones siguientes que usan diferentes sistemas numéricos. Conversión entre sistemas

Más detalles

DESARROLLO DE HABILIDADES DEL PENSAMIENTO LÓGICO

DESARROLLO DE HABILIDADES DEL PENSAMIENTO LÓGICO I. SISTEMAS NUMÉRICOS DESARROLLO DE HABILIDADES DEL PENSAMIENTO LÓGICO LIC. LEYDY ROXANA ZEPEDA RUIZ SEPTIEMBRE DICIEMBRE 2011 Ocosingo, Chis. 1.1Sistemas numéricos. Los números son los mismos en todos

Más detalles

Introducción a los Sistemas Digitales

Introducción a los Sistemas Digitales Tema Sistema Estructura y comportamiento Señal analógica y señal digital Señal binaria Sistemas de numeración Representación de números enteros Signo-magnitud Complemento a dos Codificación Códigos numéricos

Más detalles

Los sistemas de numeración se clasifican en: posicionales y no posicionales.

Los sistemas de numeración se clasifican en: posicionales y no posicionales. SISTEMAS NUMERICOS Un sistema numérico es un conjunto de números que se relacionan para expresar la relación existente entre la cantidad y la unidad. Debido a que un número es un símbolo, podemos encontrar

Más detalles

ANEXO 2: REPRESENTACION DE LA INFORMACION EN LOS COMPUTADORES

ANEXO 2: REPRESENTACION DE LA INFORMACION EN LOS COMPUTADORES ANEXO 2: REPRESENTACION DE LA INFORMACION EN LOS COMPUTADORES SISTEMA DE NUMERACIÓN BASE 2 El sistema de numeración binario es el conjunto de elementos {0, 1} con las operaciones aritméticas (suma, resta,

Más detalles

Anexo B. Comunicaciones entre mc y PC

Anexo B. Comunicaciones entre mc y PC Anexo B Comunicaciones entre mc y PC En este apartado se hará hincapié en los comandos para el manejo del módulo de comunicaciones desde el PC. Conociendo estos comando se podrá realizar una aplicación

Más detalles

Examen final de CL 11 de Enero de 2012 Fecha de publicación de notas: 19-1-2012 Fecha de revisión: 20-1-2012

Examen final de CL 11 de Enero de 2012 Fecha de publicación de notas: 19-1-2012 Fecha de revisión: 20-1-2012 Examen final de CL 11 de Enero de 2012 Fecha de publicación de notas: 19-1-2012 Fecha de revisión: 20-1-2012 Sin apuntes. Tiemp: 3h. Nombre y Apellidos: Problema de analisis léxico, sintáctico e intérpretes

Más detalles

SISTEMAS NUMERICOS CAMILO ANDREY NEIRA IBAÑEZ UNINSANGIL INTRODUCTORIO A LA INGENIERIA LOGICA Y PROGRAMACION

SISTEMAS NUMERICOS CAMILO ANDREY NEIRA IBAÑEZ UNINSANGIL INTRODUCTORIO A LA INGENIERIA LOGICA Y PROGRAMACION SISTEMAS NUMERICOS CAMILO ANDREY NEIRA IBAÑEZ UNINSANGIL INTRODUCTORIO A LA INGENIERIA LOGICA Y PROGRAMACION CHIQUINQUIRA (BOYACA) 2015 1 CONTENIDO Pág. QUE ES UN SISTEMA BINARIO. 3 CORTA HISTORIA DE LOS

Más detalles