Diseño VHDL de módulos combinacionales básicos

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Diseño VHDL de módulos combinacionales básicos"

Transcripción

1 GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01 Diseño VHDL de módulos combinacionales básicos Resumen: El presente documento presenta la primera parte de las prácticas de diseño VHDL que han de realizar los alumnos del segundo parcial de la asignatura de Fundamentos de los Computadores de primer curso de la Ingeniería Superior en Informática. Se deben diseñar y simular dos ejemplos sencillos de redes de puertas básicas y distintas variedades de los siguientes módulos combinacionales básicos: decodificadores, codificadores, multiplexores, desplazadores y comparadores. José Ignacio Martínez Torre Despacho 160 Edificio Departamental II Grupo de Diseño HwSw DIET (ESCET) Tel: Universidad Rey Juan Carlos Fax: Móstoles Madrid ESPAÑA joseignacio.martinez@urjc.es niversidad Rey Juan Carlos

2 DOCENCIA - VHDL Diseño VHDL de módulos combinacionales básicos Grupo de diseño Hardware Software DIET ESCET URJC C/ Tulipán s/n, E-28933, Móstoles, Madrid, ESPAÑA Teléfono (34) Fax (34)

3 Tabla de contenidos DISEÑO VHDL DE MÓDULOS COMBINACIONALES BÁSICOS1 PRÁCTICA 1: SÍNTESIS DE PUERTAS AND-OR-NOT.1 Práctica 1a: Ejemplo2..1 PRÁCTICA 2: DECODIFICADORES..2 Práctica 2a: decodificador de 3 a 82 Práctica 2b: decodificador de 4 a 16.3 Práctica 2d: conversor de BCD a 7 segmentos..3 PRÁCTICA 3: CODIFICADORES 4 Práctica 3a: codificador sin prioridad de 8 a 3.4 Práctica 3c: codificador con prioridad de 8 a 3 4 PRÁCTICA 4: MULTIPLEXORES 5 Práctica 4a: multiplexor 4 a 1 de 1 bit..5 Práctica 4b: multiplexor 4 a 1 vectorial de 4 bits.5 PRÁCTICA 5: DESPLAZADORES..6 Práctica 5a: desplazador binario.6 Práctica 5b: desplazador vectorial.6 PRÁCTICA 6: COMPARADORES..6 Práctica 6a: comparador encadenable de 1 bit..7 Práctica 6b: comparador encadenable de 4 bits.7 Práctica 6c: comparador encadenable de 8 bits.7 CÓDIGO VHDL DE LAS PUERTAS BÁSICAS..9 AND29 OR2.9 INV 9 NAND2.10 NOR2 10 XOR2 10 XNOR2.10 BIBLIOGRAFÍA..11

4

5 INTRODUCCIÓN A VERIBEST JIMT Capítulo 1 Diseño VHDL de módulos combinacionales básicos Todas las prácticas son ejemplos de sistemas y módulos digitales combinacionales presentados en los apuntes del Tema 2 de la asignatura de Fundamentos de Computadores durante el segundo parcial. El código VHDL de las prácticas resueltas y propuestas se encuentra en sus respectivos apartados. Este código ha de ser utilizado obligatoriamente para todas las entidades que se piden, respetando los nombres de las unidades y de los puertos, para facilitar la prueba de las prácticas entregadas. Se pide que el alumno realice la síntesis y la simulación de todas las prácticas exigidas, escribiendo todas las unidades de test necesarias para verificar de forma eficiente y completa el funcionamiento de los diseños. En el Apéndice A aparece el código VHDL de las puertas lógicas básicas. A continuación se detallan todas las prácticas que hay que realizar y entregar: Práctica 1: síntesis de puertas And-Or-Not Diseñar los ejemplos de sistemas combinacionales como redes de puertas básicas de las transparencias de teoría FC 2P T2 72 a FC 2P T2 78. Práctica 1a: Ejemplo2 Diseñar y simular Z mediante una red de puertas And-Or-Not de dos niveles en VHDL. Ejemplo2 entity ejemplo2 is z = x2 (x1 + x0) (x3 + x2 + x0) (x2 + x1 + x0) 1

6 IT_001_01 port (x3,x2,x1,x0: in std_logic; z: out std_logic); end ejemplo1; architecture funcional of ejemplo2 is z <= after retardo; Test Ejemplo2 entity test_ejemplo2 is end test_ejemplo2; architecture test of test_ejemplo2 is component ejemplo2 end component; for all: ejemplo2 use entity work.ejemplo2(funcional); signal x3,x2,x1,x0,z: std_logic; UUT: ejemplo2 port map (); x0 <= '0', '1' after 100 ns, ; x1 <= '0', '1' after 200 ns, ; x2 <= '0', '1' after 400 ns, ; x3 <= '0', '1' after 800 ns; end test; Práctica 2: decodificadores Realizar el diseño funcional y estructural de decodificadores de distinto tamaño. También se pide utilizar uno de los decodificadores para sintetizar un conversor de BCD a 7 segmentos. Ver FC 2P T2 86 a FC 2P T Práctica 2a: decodificador de 3 a 8 Diseñar el decodificador de 3 a 8 en VHDL con una descripción funcional de las ecuaciones que satisface. Decodificador de 3 a 8 entity decodificador3a8 is port (enable,x2,x1,x0: in std_logic; y7,y6,y5,y4,y3,y2,y1,y0: out std_logic); end decodificador3a8; architecture funcional of decodificador3a8 is y7 <= enable and x2 and x1 and x0; y6 <= ; y1 <= ; y0 <= enable and not(x2) and not(x1) and not(x0); Test del Decodificador de 3 a 8 entity test_decodificador3a8 is end test_decodificador3a8; architecture test of test_decodificador3a8 is 2

7 INTRODUCCIÓN A VERIBEST JIMT component decodificador3a8 end component; for all: decodificador3a8 use entity work(); signal enable,x2,x1,x0,: std_logic; UUT: decodificador3a8 port map (); enable <= '0', '1' after 800 ns; x0 <= '0', '1' after 100 ns, ; x1 <= '0', '1' after 200 ns, ; x2 <= '0', '1' after 400 ns, ; end test; Práctica 2b: decodificador de 4 a 16 Diseñar el decodificador de 4 a 16 en VHDL mediante una red de decodificadores de 3 a 8. Decodificador de 4 a 16 entity decodificador4a16 is port (enable: in std_logic; x: in std_logic_vector(3 downto 0); y: out std_logic_vector(15 downto 0)); end decodificador4a16; architecture estructural of decodificador4a16 is component decodificador3a8 port (enable,x2,x1,x0: in std_logic; y7,y6,y5,y4,y3,y2,y1,y0: out std_logic); end component; component and2 port (a, b: in std_logic; s: out std_logic); end component; component inv port (a: in std_logic; s: out std_logic); end component; signal E0, E1, not_x3 : std_logic; And1: and2 port map (enable,x(3),e1); DecE1: decodificador3a8 port map (E1,x(2),x(1),x(0),y(15),y(14),y(13),y(12),y(11),y(10), y(9),y(8)); Not0: inv port map (x(3),not_x3); And0: and2 port map (enable,not_x3,e0); DecE0: decodificador3a8 port map (E0,x(2),x(1),x(0),y(7),y(6),y(5),y(4),y(3),y(2), y(1),y(0)); end estructural; Práctica 2d: conversor de BCD a 7 segmentos Diseñar el codificador BCD a 7 segmentos en VHDL mediante una descripción estructural (FC-2P-T2-100) con un decodificador de 4 a 16 y puertas Or (operador VHDL o componentes). architecture estructural of Bcd7seg is component decodificador4a16 port (enable: in std_logic; x: in std_logic_vector(3 downto 0); y: out std_logic_vector(15 downto 0)); end component; signal vectorx: std_logic_vector(3 downto 0); signal dec_o_int: std_logic_vector(15 downto 0); 3

8 IT_001_01 vectorx <= x3&x2&x1&x0; Dec: decodificador4a16 port map (enable,vectorx,dec_o_int); a <= dec_o_int(0) or ; g <= dec_o_int(2) or ; end estructural; Práctica 3: codificadores Realizar el diseño funcional y estructural de codificadores sin prioridad y con prioridad de distinto tamaño. Ver FC 2P T2 104 a FC 2P T Práctica 3a: codificador sin prioridad de 8 a 3 Diseñar un codificador sin prioridad de 8 a 3 en VHDL mediante una descripción funcional. Codificador sin prioridad de 8 a 3 entity CodSinPrioridad8a3 is port (enable: in std_logic; x: in std_logic_vector(7 downto 0); y: out std_logic_vector(2 downto 0); activo: out std_logic); end CodSinPrioridad8a3; architecture funcional of CodSinPrioridad8a3 is y(2) <= x3 or x1 or (x2 and x0) or (not(x2) and not (x0)); y(1) <= ; y(0) <= ; activo <= ; Práctica 3c: codificador con prioridad de 8 a 3 Diseñar un codificador con prioridad de 8 a 3 en VHDL. Ahora la prioridad es codificar el bit menos significativo de los recibidos. Codificador con prioridad de 8 a 3 entity CodConPrioridadMenosSig8a3 is port (enable_in: in std_logic; x: in std_logic_vector(7 downto 0); y: out std_logic_vector(2 downto 0); activo, enable_out: out std_logic); end CodConPrioridadMenosSig8a3; architecture funcional of CodConPrioridadMenosSig8a3 is z7 <= ; -- selección de entrada menos significativa z0 <= x(0); y(2) <= enable_in and ( or ); -- codificador sin prioridad y(0) <= ; activo <= ; enable_out <= ; 4

9 INTRODUCCIÓN A VERIBEST JIMT Práctica 4: multiplexores Realizar el diseño funcional y estructural de multiplexores binarios y vectoriales de distinto tamaño. Ver FC 2P T2 121 a FC 2P T Práctica 4a: multiplexor 4 a 1 de 1 bit Diseñar un multiplexor de 4 a 1 de 1 bit de ancho en VHDL mediante una descripción funcional. Multiplexor 4 a 1 de 1 bit entity Mux4a1_1bit is port (enable: in std_logic; x: in std_logic_vector(3 downto 0); sel: out std_logic_vector(1 downto 0); y: out std_logic); end Mux4a1_1bit; architecture funcional of Mux4a1_1bit is y <= enable and ((x(0) and ); Práctica 4b: multiplexor 4 a 1 vectorial de 4 bits Sintetizar un multiplexor de 4 a 1 de 4 bits de ancho en VHDL mediante una descripción funcional. Multiplexor 4 a 1 vectorial de 4 bits entity Mux4a1_4bits is port (enable: in std_logic; x3,x2,x1,x0: in std_logic_vector(3 downto 0); sel: out std_logic_vector(1 downto 0); y: out std_logic_vector(3 downto 0); end Mux4a1_4bits; architecture funcional of Mux4a1_4bits is y(3) <= enable and ((x(0) and ); y(0) <= ; architecture estructural of Mux4a1_4bits is multiplexor: for i in 3 downto 0 generate puertas: Mux4a1_1bit port map (enable, ); 5

10 IT_001_01 end generate; end estructural; Práctica 5: desplazadores Realizar la descripción funcional y estructural de dos desplazadores, uno binario y uno vectorial. Ver FC 2P T2 139 a FC 2P T Práctica 5a: desplazador binario Diseñar el desplazador del ejemplo anterior en VHDL mediante una descripción funcional. Desplazador binario entity Desplazador_1bit is port (enable,dnd,derizq: in std_logic; x4,x3,x2,x1,x0,xmenos1: in std_logic; y3,y2,y1,y0: out std_logic); end Desplazador _1bit; architecture funcional of Desplazador_1bit is ; -- usar una estructura tipo case Práctica 5b: desplazador vectorial Diseñar el desplazador del ejemplo anterior en VHDL para entradas vectoriales de 4 bits mediante una descripción estructural basada en el desplazador anterior (Desplazador_1bit). Desplazador vectorial entity Desplazador_4bits is port (enable,dnd,derizq: in std_logic; x4,x3,x2,x1,x0,xmenos1: in std_logic_vector(3 downto 0); y3,y2,y1,y0: out std_logic); end Desplazador_4bits; architecture estructural of Desplazador_4bits is y3(3) <= enable and x and ; y0(0) <= ; end estructural; Práctica 6: comparadores Diseñar la síntesis funcional y estructural de comparadores encadenables binarios y vectoriales. Ver FC 2P T2 149 a FC 2P T

11 INTRODUCCIÓN A VERIBEST JIMT Práctica 6a: comparador encadenable de 1 bit Diseñar el comparador de 1 bit encadenable en VHDL mediante una descripción funcional. Comparador encadenable de 1 bit entity Comparador_1bit is port (a,b: in std_logic; agtbin,aeqbin,altbin: in std_logic; agtbout,aeqbout,altbout: out std_logic); end Comparador_1bit; Práctica 6b: comparador encadenable de 4 bits Diseñar el comparador de 4 bits encadenable en VHDL mediante una descripción funcional. Comparador encadenable de 4 bits entity Comparador_4bits is port (a,b: in std_logic_vector(3 downto 0); agtbin,aeqbin,altbin: in std_logic; agtbout,aeqbout,altbout: out std_logic); end Comparador_4bits; Práctica 6c: comparador encadenable de 8 bits Diseñar un comparador de 8 bits encadenable en VHDL usando el comparador de 4 bits anterior. Comparador encadenable de 8 bits entity Comparador_8bits is port (a,b: in std_logic_vector(7 downto 0); agtbin,aeqbin,altbin: in std_logic; agtbout,aeqbout,altbout: out std_logic); end Comparador_8bits; architecture estructural of Comparador_8bits is component Comparador_4bits port (a,b: in std_logic_vector(3 downto 0); agtbin,aeqbin,altbin: in std_logic; agtbout,aeqbout,altbout: out std_logic); end component; ; end estructural; 7

12 IT_001_01 8

13 INTRODUCCIÓN A VERIBEST JIMT Apéndice A Código VHDL de las puertas básicas A continuación se da el código VHDL de los componentes y sistemas que se pueden diseñar. And2 entity and2 is port (a, b: in std_logic; s: out std_logic); end and2; architecture funcional of and2 is s <= a and b after retardo; Or2 entity or2 is port (a, b: in std_logic; s: out std_logic); end or2; architecture funcional of or2 is s <= a or b after retardo; Inv entity inv is port (a: in std_logic; s: out std_logic); end inv; 9

14 IT_001_01 architecture funcional of inv is s <= not a after retardo; Nand2 entity nand2 is port (a, b: in std_logic; s: out std_logic); end nand2; architecture funcional of nand2 is s <= not (a and b) after retardo; Nor2 entity nor2 is port (a, b: in std_logic; s: out std_logic); end nor2; architecture funcional of nor2 is s <= not(a or b) after retardo; Xor2 entity xor2 is port (a, b: in std_logic; s: out std_logic); end xor2; architecture funcional of xor2 is s <= a xor b after retardo; Xnor2 entity xnor2 is port (a, b: in std_logic; s: out std_logic); end xnor2; architecture funcional of xnor2 is s <= not(a xor b) after retardo; 10

15 INTRODUCCIÓN A VERIBEST JIMT Bibliografía [1] [2] [3] Ayuda on-line de Veribest. [4] J-P. Deschamps. Síntesis de Circuitos Digitales. 1ª edición. Thomson [5] Z. NAVABI, VHDL, Analysis and Modeling of Digital Systems, McGraw-Hill, 1993 [6] Ll. TERÉS, Y. TORROJA, S. OLCOZ, E. VILLAR, VHDL - Lenguaje Estándar de Diseño Electrónico, McGraw-Hill, [7] J.-P. DESCHAMPS, J.Mª. ANGULO, Diseño de Sistemas Digitales, Paraninfo, 1992 (2ª edición). 11

16 IT_001_01 12

17

18 DOCENCIA - VHDL Diseño VHDL de módulos combinacionales básicos José Ignacio Martínez Torre Grupo de diseño Hardware Software DIET ESCET URJC C/ Tulipán s/n, E-28933, Móstoles, Madrid, ESPAÑA Teléfono (34) Fax (34)

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014 Solución al Trabajo Práctico - Junio de 2014 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es contabilizar el número de señales de entrada que tienen valor

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 2: La Unidad Aritmético - Lógica Objetivos Comprender cómo se realiza un sumador con propagación de acarreo

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

Fundamentos de Sistemas Digitales Programa de la Materia. Identificación de asignatura. Sistemas Digitales Básicos

Fundamentos de Sistemas Digitales Programa de la Materia. Identificación de asignatura. Sistemas Digitales Básicos Universidad de Guadalajara Centro Universitario de Ciencias Exactas e Ingenierías División de Electrónica y Computación Departamento de Electrónica Ingeniería en Comunicaciones y Electrónica Fundamentos

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select. Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017 Solución al Trabajo Práctico - Junio de 2017 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

320098 - ED - Electrónica Digital

320098 - ED - Electrónica Digital Unidad responsable: 320 - EET - Escuela de Ingeniería de Terrassa Unidad que imparte: 710 - EEL - Departamento de Ingeniería Electrónica Curso: Titulación: 2015 GRADO EN INGENIERÍA DE SISTEMAS AUDIOVISUALES

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016 Solución al Trabajo Práctico - Junio de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

SISTEMAS DIGITALES CONTROL 1 (20/4/2015)

SISTEMAS DIGITALES CONTROL 1 (20/4/2015) SISTEMAS DIGITALES CONTOL (2/4/25) APELLIDOS NOMBE DNI: GUPO DE CLASE: Firma POBLEMA A ( puntos) La siguiente entidad y arquitectura corresponden a un circuito combinacional. LIBAY ieee; USE ieee.std_logic_64.all;

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16

Más detalles

5.1. Introducción a los sistemas digitales

5.1. Introducción a los sistemas digitales 5.. Introducción a los sistemas digitales 5... Sistemas digitales [ Wakerly.2 pág. 3] 5..2. Puertas y señales lógicas [ Wakerly.3 pág. 6] 5..3. Representación de la lógica digital [ Wakerly. pág. 9] 5..4.

Más detalles

PRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441

PRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441 CÓDIGO : LAB. Nº: 4 HORARIO: H-441 1. Con sumadores completos de 1 bit, elabore un circuito que obtenga el valor absoluto de un número en complemento a 2 de 4 bits. La salida tendrá 4 bits sin signo. (2

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 5 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1 y z2

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

PROGRAMA DE CURSO Modelo 2009

PROGRAMA DE CURSO Modelo 2009 REQUISITOS: HORAS: 3 Horas a la semana CRÉDITOS: PROGRAMA(S) EDUCATIVO(S) QUE LA RECIBE(N): IETRO PLAN: 2009 FECHA DE REVISIÓN: Mayo de 2011 Competencia a la que contribuye el curso. DEPARTAMENTO: Departamento

Más detalles

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción a la lógica binaria

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción a la lógica binaria binariaoliverio J. Santana Jaria 6. Introducción n a la lógica l Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Las cuándo lógica una es determinada la parte del razonamiento

Más detalles

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

PRACTICA 3. Lenguaje de descripción de hardware VHDL. Lenguaje de descripción de hardware VHDL. Objetivo: El alumno analizara de que partes escenciales conta un codigo hecho atravez del lenguaje de descripcion de hardware VHDL, asi tambien que implica la

Más detalles

Proyecto de Diseño 2

Proyecto de Diseño 2 Altera University Program 1 Proyecto de Diseño 2 Números y Visualizadores El objetivo de esta práctica es diseñar circuitos combinacionales que realicen conversiones numéricas de binario a decimal y adición

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO I.-DATOS GENERALES SÍLABO CARRERA PROFESIONAL : INGENIERÍA ELECTRÓNICA Y CÓDIGO CARRERA PROFESIONAL : 29 ASIGNATURA : CÓDIGO DE ASIGNATURA : 2902-29213 CÓDIGO DE SÍLABO : 2921330072014 Nº DE HORAS TOTALES

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica)

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica) Folleto de presentación Simulín Simulín Simulador de circuitos digitales para uso docente v5.60 (Julio 2014) Características Circuitos Combinacionales Puertas lógicas básicas (NOT, AND, OR, XOR, NAND,

Más detalles

Sumador: C o. C in. Sumador serie: Sumador paralelo con propagación de arrastre:

Sumador: C o. C in. Sumador serie: Sumador paralelo con propagación de arrastre: UNIDAD ARITMETICO-LOGICA Conceptos Unidad aritmético-lógica: Elemento que realiza las operaciones aritméticas y lógicas entre los datos Operaciones típicas Sumar Restar Multiplicar Desplazamiento de registros

Más detalles

Operadores y atributos en VHDL [1]

Operadores y atributos en VHDL [1] Operadores y atributos en VHDL [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014

Más detalles

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL es un lenguaje de descripción de hardware Es útil para la síntesis y verificación de circuitos digitales Historia

Más detalles

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales.

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales. Código Concurrente Código Concurrente El código VHDL puede ser concurrente o secuencial. Únicamente las sentencias colocadas dentro de un PROCESS, FUNCTION o PROCEDURE (los últimos dos son llamados subprogramas)

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana Solución al examen de Junio 2012, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,x4,x5 entre los instantes 0 y

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente: Departamento de Ingeniería de Sistemas Facultad de Ingeniería Universidad de Antioquia Arquitectura de Computadores y Laboratorio ISI355 (2011 2) Práctica No. 1 Diseño e implementación de una unidad aritmético

Más detalles

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informática de Sistemas

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

PRUEBA DE ENTRADA E P3 P2

PRUEBA DE ENTRADA E P3 P2 PONTIFICIA UNIVRSIDAD CATÓLICA DL PRÚ STUDIOS GNRALS CINCIAS DANIL LLAMOCCA PRUBA D NTRADA NOMBR : FCHA: / /5 CÓDIGO : LAB. Nº: HORARIO: H-44. Complete el diagrama de tiempos del siguiente circuito (determine

Más detalles

TITULACIÓN Ingeniero Técnico Industrial, Especialidad en Electrónica ASIGNATURAS DE SEGUNDO CURSO DEL PLAN 1999 ELECTRÓNICA DIGITAL PROGRAMA:

TITULACIÓN Ingeniero Técnico Industrial, Especialidad en Electrónica ASIGNATURAS DE SEGUNDO CURSO DEL PLAN 1999 ELECTRÓNICA DIGITAL PROGRAMA: TITULACIÓN Ingeniero Técnico Industrial, Especialidad en Electrónica ASIGNATURAS DE SEGUNDO CURSO DEL PLAN 1999 ELECTRÓNICA DIGITAL PROGRAMA: TEMA 1. INTRODUCCIÓN A LOS SISTEMAS DIGITALES. 1. Concepto

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

SISTEMAS DIGITALES CONTROL 1 (31/3/2014) APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A

SISTEMAS DIGITALES CONTROL 1 (31/3/2014) APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A PROBLEMA 1A (3 puntos) La siguiente entidad y arquitectura corresponden a un circuito combinacional. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY examen_marzo_14

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

Tema IV. Unidad aritmético lógica

Tema IV. Unidad aritmético lógica Tema IV Unidad aritmético lógica 4.1 Sumadores binarios 4.1.1 Semisumador binario (SSB) 4.1.2 Sumador binario completo (SBC) 4.1.3 Sumador binario serie 4.1.4 Sumador binario paralelo con propagación del

Más detalles

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha Sistemas Electrónicos igitales Práctica de 8 bits secuencial con desplazamiento hacia la derecha Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos Universidad Politécnica de

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Convocatoria Ordinaria 2012

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Convocatoria Ordinaria 2012 Trabajo Práctico - Convocatoria Ordinaria 2012 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial Boletín de Problemas de Circuitos Combinacionales Fundamentos de Electrónica 3º Curso Ingeniería Industrial 2 1. Utilizar el mapa de Karnaugh para implementar la forma suma de productos mínima de la función

Más detalles

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008 Introducción a los Sistemas Lógicos y Digitales 2008 Métodos de análisis: Tabla de verdad. Heurístico. Diagramas de estado. Simulación. Test del hardware. etc... Ejemplo de análisis heurístico (planteo

Más detalles

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos:

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos: Funciones incompletas Son funciones cuyo valor puede ser indistintamente 0 ó 1 para algunas combinaciones de las variables de entrada, bien porque dichas combinaciones no vayan a darse nunca en la práctica

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

V. Elementos de la Arquitectura

V. Elementos de la Arquitectura V. Elementos de la Arquitectura 1 Tipos de Datos Todos los sistemas digitales manejan la información como bits o vectores de bits. Sin embargo no es posible ni conveniente usar sólo estos tipos para todos

Más detalles

PROGRAMA DE ESTUDIO. Programas académicos en los que se imparte: Ingeniería Eléctrica-Electrónica 1. DESCRIPCIÓN Y CONCEPTUALIZACIÓN DE LA ASIGNATURA:

PROGRAMA DE ESTUDIO. Programas académicos en los que se imparte: Ingeniería Eléctrica-Electrónica 1. DESCRIPCIÓN Y CONCEPTUALIZACIÓN DE LA ASIGNATURA: PROGRAMA DE ESTUDIO Nombre de la asignatura: SISTEMAS DIGITALES 1 Clave: IEE18 Fecha de elaboración: marzo 2015 Horas Horas Semestre semana Ciclo Formativo: Básico ( ) Profesional ( X ) Especializado (

Más detalles

Sistemas Digitales I

Sistemas Digitales I UNIVERSIDAD INDUSTRIAL DE SANTANDER Sistemas Digitales I Taller No1 Profesor: Carlos A. Fajardo Mayo de 2015 Temas: Representación digital de los Datos, Algebra de Boole, Funciones Lógicas, Introducción

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2012

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2012 Solución al Trabajo Práctico - Junio de 2012 EJERCICIO 1 A continuación se muestran dos funciones lógicas F y G, que dependen de las cuatro variablesa,b,cydde la forma mostrada a continuación: F = A B

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana Solución al examen de Junio 2014, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles José Ignacio Martínez Torre Ángel Serrano Sánchez de León.

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles José Ignacio Martínez Torre Ángel Serrano Sánchez de León. Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles José Ignacio Martínez Torre Ángel Serrano Sánchez de León Programa 1. Introducción. 2. Operaciones lógicas. 3. Bases de la aritmética

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

Modelo de examen tipo resuelto 1

Modelo de examen tipo resuelto 1 Modelo de examen tipo resuelto. Diseñar un sistema combinacional que tenga cinco entradas y dos salidas y que actúe de la siguiente forma: las cinco entradas (x 4 x 3 x 2 x x 0 ) representan una palabra

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN . INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Simulación con un banco de pruebas VHDL - test bench.

Simulación con un banco de pruebas VHDL - test bench. Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2 Diseño de un test bench 4.3 Ejemplos Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2

Más detalles