SISTEMAS DIGITALES CONTROL 1 (20/4/2015)

Tamaño: px
Comenzar la demostración a partir de la página:

Download "SISTEMAS DIGITALES CONTROL 1 (20/4/2015)"

Transcripción

1 SISTEMAS DIGITALES CONTOL (2/4/25) APELLIDOS NOMBE DNI: GUPO DE CLASE: Firma POBLEMA A ( puntos) La siguiente entidad y arquitectura corresponden a un circuito combinacional. LIBAY ieee; USE ieee.std_logic_64.all; ENTITY combinacional IS POT (a,b: IN STD_LOGIC; sal: OUT STD_LOGIC); END combinacional; ACHITECTUE estructural OF combinacional IS COMPONENT inv IS GENEIC (retardo:time:= ns); POT(e:IN STD_LOGIC; s:out STD_LOGIC); COMPONENT and2 IS GENEIC (retardo:time:= ns); POT(e,e:IN STD_LOGIC; s:out STD_LOGIC); COMPONENT nor2 IS GENEIC (retardo:time:= ns); POT(e,e:IN STD_LOGIC; s:out STD_LOGIC); SIGNAL na,nb,m,m:std_logic; FO ALL: inv USE ENTITY work.inv(flujo); FO ALL: and2 USE ENTITY work.and2(flujo); FO ALL: nor2 USE ENTITY work.nor2(flujo); BEGIN u: inv GENEIC MAP ( ns) POT MAP (e=>b, s=>nb); u: inv GENEIC MAP ( ns) POT MAP (e=>a, s=>na); u2: and2 GENEIC MAP (2 ns) POT MAP (e=>na, e=>nb, s=>m); u3: nor2 GENEIC MAP (2 ns) POT MAP (e=>a, e=>b, s=>m); u4: and2 GENEIC MAP (3 ns) POT MAP (e=>m, e=>m, s=>sal); END estructural; ) Dibuje la estructura del circuito. a b m sal m 2) Dígase, de forma razonada, con cuantas sentencias concurrentes se ha descrito la arquitectura. hay 5: u, u, u2, u3, u4 3) Dígase, de forma razonada, cuanto sería el retardo máximo de la salida respecto de las entradas.

2 SISTEMAS DIGITALES CONTOL (2/4/25) 6 ns = inversor (ns) + and2 o nor2 (2 ns) and2 + and2 (3ns) (3 niveles de puertas) 4) Si se desease testear la arquitectura con las formas de onda (A, B) de la figura siguiente, descríbalas con sentencias VHDL. A <= NOT A AFTE 25 ns; B <= '', '' AFTE 7 ns, '' AFTE 32 ns, '' AFTE 65 ns; 5) Obtenga la función de salida generada (sal) y justifique razonadamente si corresponde a la señal (SAL) representada en el cronograma del apartado 4. Sal= (a+b) (a b ) = a b En la señal SAL del cronograma durante los 6 primeros nanosegundos se obtiene un valor indeterminado, porque no se ha inicializado la salida y como consecuencia del retardo acumulado por el funcionamiento de los 3 niveles de puertas. Después la salida (SAL) responde a la función lógica obtenida en sal.

3 SISTEMAS DIGITALES CONTOL (2/4/25) POBLEMA 2A ( puntos) Se desea diseñar un circuito capaz de restar (M-N) dos palabras de cuatro bits M=M 3 M 2 M M y N=N 3 N 2 N N codificados en binario natural, de manera análoga al diseño de un sumador binario; para ello: ) Escribir la tabla lógica de un restador completo con tres entradas: m, n, y el adeudo a, de una posible etapa anterior y dos salidas: el bit de resta r y el adeudo d a la etapa siguiente. Implementarlo con multiplexores de tres entradas de control. r r d d 2) Construir el restador de cuatro bits en base a los restadores completos anteriores. M 3 N 3 M 2 N 2 M N M N a d 3 d 2 d d 3 2 3) Supóngase que las entradas (expresadas en decimal) son M= y N=2. Cuál sería el resultado del anterior circuito?. Sería correcto si se interpretase como un número en complemento a dos?. esultado: El resultado interpretado como un número en complemento a dos sería -2 4) Escríbase dicho número, interpretado como un número en complemento a dos, para que esté correctamente representado en 8 bits. Cuál es el rango de representación de los números binarios de cuatro bits y el de los números en complemento a dos de cuatro bits?. En ocho bits ango de números binarios de cuatro bits [,,5] ango de números en complemento a dos de cuatro bits [-8,..,, +7]

4 SISTEMAS DIGITALES CONTOL (2/4/25) POBLEMA 3A ( puntos) Dados dos números menores que 4: A (bits a i siendo i=n-, ) y B (bits b i siendo i=n-, ) codificados en binario natural, se quiere diseñar un circuito cuya salida S (bits s j siendo j=m-, ), sean los números binarios de entrada ordenados de mayor a menor. Se pide: ) Número de entradas y salidas del circuito. ( punto) 2) Obtención de la tabla de verdad, de acuerdo a las siguientes cabeceras. (3 puntos) a n-...a b n-...b S m-...s 3) educción de las funciones lógicas de las dos salidas de mayor peso mediante mapas de Karnaugh. (2 puntos) 4) De las dos funciones lógicas obtenidas en el apartado anterior, implementación de la de menor peso con puertas NAND. (2 puntos) 5) Implementación de la salida de menor peso del circuito con un multiplexor, controlado por los bits del número A. (2 puntos) ) Entradas = 4 ; Salidas = 4 2) 3) A 2) B 2) a a A ) b b B ) S3 S2 V S3S2 S S V SS aa aa bb bb S3 = b+a S2 = (a b )+(a b)+(a a)+(b b) 4)

5 SISTEMAS DIGITALES CONTOL (2/4/25) b S2 = (b a) + (b a) + (a a) + (b b) S2 = (b a) + (b a) + (a a) + (b b) a b S2 = (b a) (b a) (a a) (b b) a a a b b S2 5) b+b b b b S a a

6 SISTEMAS DIGITALES CONTOL (2/4/25) POBLEMA B ( puntos) La siguiente entidad y arquitectura corresponden a un circuito combinacional. LIBAY ieee; USE ieee.std_logic_64.all; ENTITY combinacional2 IS POT (a,b: IN STD_LOGIC; sal: OUT STD_LOGIC); END combinacional2; ACHITECTUE estructural OF combinacional2 IS COMPONENT inv IS GENEIC (retardo:time:= ns); POT(e:IN STD_LOGIC; s:out STD_LOGIC); COMPONENT and2 IS GENEIC (retardo:time:= ns); POT(e,e:IN STD_LOGIC; s:out STD_LOGIC); COMPONENT or2 IS GENEIC (retardo:time:= ns); POT(e,e:IN STD_LOGIC; s:out STD_LOGIC); COMPONENT nand2 IS GENEIC (retardo:time:= ns); POT(e,e:IN STD_LOGIC; s:out STD_LOGIC); SIGNAL nb,m,m:std_logic; FO ALL: inv USE ENTITY work.inv(flujo); FO ALL: and2 USE ENTITY work.and2(flujo); FO ALL: or2 USE ENTITY work.or2(flujo); FO ALL: nand2 USE ENTITY work.nand2(flujo); BEGIN u: inv GENEIC MAP ( ns) POT MAP (e=>b, s=>nb); u: or2 GENEIC MAP (2 ns) POT MAP (e=>a, e=>nb, s=>m); u2: nand2 GENEIC MAP (2 ns) POT MAP (e=>a, e=>b, s=>m); u3: and2 GENEIC MAP (3 ns) POT MAP (e=>m, e=>m, s=>sal); END estructural; ) Dibuje la estructura del circuito. a b m sal m 2) Dígase, de forma razonada, con cuantas sentencias concurrentes se ha descrito la arquitectura. hay 4: u, u, u2, u3 3) Dígase, de forma razonada, cuanto sería el retardo máximo de la salida respecto de las entradas.

7 SISTEMAS DIGITALES CONTOL (2/4/25) son 6 ns = inversor ( ns) + or2 o nand2 (2 ns) + and2 (3 ns) (3 niveles de puertas) 4) Si se desease testear la arquitectura con las formas de onda (A, B) de la figura siguiente, descríbalas con sentencias VHDL. A <= NOT A AFTE 2 ns; B <= '', '' AFTE 5 ns, '' AFTE 25 ns,'' AFTE 35 ns,'' AFTE 45 ns; 5) Obtenga la función de salida generada (sal) y justifique razonadamente si corresponde a la señal (SAL) representada en el cronograma del apartado 4. Sal= (a b) (a+b ) = (a +b ) (a+b ) = b En la señal SAL del cronograma durante los 6 primeros nanosegundos se obtiene un valor indeterminado, porque no se ha inicializado la salida y como consecuencia del retardo acumulado por el funcionamiento de los 3 niveles de puertas. Después la salida (SAL) responde a la función lógica obtenida en sal.

8 SISTEMAS DIGITALES CONTOL (2/4/25) POBLEMA 2B ( puntos) Se desea diseñar un circuito capaz de restar (M-N) dos palabras de cuatro bits M=M 3 M 2 M M y N=N 3 N 2 N N codificados en binario natural, de manera análoga al diseño de un sumador binario; para ello:. Escribir la tabla lógica de un restador completo con tres entradas: m, n, y el adeudo a, de una posible etapa anterior y dos salidas: el bit de resta r y el adeudo d a la etapa siguiente. Implementarlo con multiplexores de tres entradas de control. r r d 2. Construir el restador de cuatro bits en base a los restadores completos anteriores. d M 3 N 3 M 2 N 2 M N M N a d 3 d 2 d d Supóngase que las entradas (expresadas en decimal) son M= y N=3. Cuál sería el resultado del anterior circuito?. Sería correcto si se interpretase como un número en complemento a dos?. esultado: El resultado interpretado como un número en complemento a dos sería Escríbase dicho número, interpretado como un número en complemento a dos, para que esté correctamente representado en bits. Cuál es el rango de representación de los números binarios de diez bits y el de los números en complemento a dos de diez bits?. En ocho bits ango de números binarios de diez bits [,,23] ango de números en complemento a dos de diez bits [-52,..,, +5]

9 SISTEMAS DIGITALES CONTOL (2/4/25) POBLEMA 3B ( puntos) Dados dos números menores que 4: A (bits a i siendo i=n-, ) y B (bits b i siendo i=n-, ) codificados en binario natural, se quiere diseñar un circuito cuya salida S (bits s j siendo j=m-, ), sean los números binarios de entrada ordenados de mayor a menor. Se pide: ) Número de entradas y salidas del circuito. ( punto) 2) Obtención de la tabla de verdad, de acuerdo a las siguientes cabeceras. (3 puntos) a n-...a b n-...b S m-...s 3) educción de las funciones lógicas de las dos salidas de menor peso mediante mapas de Karnaugh. (2 puntos) 4) De las dos funciones lógicas obtenidas en el apartado anterior, implementación de la de menor peso con puertas NAND. (2 puntos) 5) Implementación de la salida de mayor peso del circuito con un multiplexor, controlado por los bits del número A. (2 puntos) ) Entradas = 4 ; Salidas = 4 2) A 2) B 2) a a A ) b b B ) S3 S2 V S3S2 S S V SS ) aa aa bb bb S = a b S = (a b)+(a b b)+(b a a)

10 SISTEMAS DIGITALES CONTOL (2/4/25) 4) S = (a b)+(a b b)+(b a a) S = (a b)+(a b b)+(b a a) S = (a b) (a b b) (b a a) a b b a b S a b a 5) b S3 a a

SISTEMAS DIGITALES CONTROL 1 (31/3/2014) APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A

SISTEMAS DIGITALES CONTROL 1 (31/3/2014) APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A PROBLEMA 1A (3 puntos) La siguiente entidad y arquitectura corresponden a un circuito combinacional. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY examen_marzo_14

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3 ELECTRÓNICA DIGITAL Ejercicios propuestos Tema Ejercicio. Convertir a binario natural, los siguientes números expresados en formato decimal. Puedes predecir a priori los bits que necesitarás para la representación

Más detalles

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select. Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

5.1. Introducción a los sistemas digitales

5.1. Introducción a los sistemas digitales 5.. Introducción a los sistemas digitales 5... Sistemas digitales [ Wakerly.2 pág. 3] 5..2. Puertas y señales lógicas [ Wakerly.3 pág. 6] 5..3. Representación de la lógica digital [ Wakerly. pág. 9] 5..4.

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

Sistemas Digitales I

Sistemas Digitales I UNIVERSIDAD INDUSTRIAL DE SANTANDER Sistemas Digitales I Taller No1 Profesor: Carlos A. Fajardo Mayo de 2015 Temas: Representación digital de los Datos, Algebra de Boole, Funciones Lógicas, Introducción

Más detalles

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

PRACTICA 3. Lenguaje de descripción de hardware VHDL. Lenguaje de descripción de hardware VHDL. Objetivo: El alumno analizara de que partes escenciales conta un codigo hecho atravez del lenguaje de descripcion de hardware VHDL, asi tambien que implica la

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017 Solución al Trabajo Práctico - Junio de 2017 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

FUNDAMENTOS DE COMPUTADORES 1ª PRUEBA ESCRITA

FUNDAMENTOS DE COMPUTADORES 1ª PRUEBA ESCRITA FUNMENTOS E OMPUTORES 1ª PRUE ESRIT GRO EN INGENIERÍ INFORMÁTI FUNMENTOS E OMPUTORES 1ª PRUE ESRIT pellidos y nombre Firma NORMS: Las preguntas de test se responderán en el casillero adjunto. No está permitido

Más detalles

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES Ejercicio PAU Septiembre 2010/2011 a) Rellenamos la tabla de la verdad colocando salidas 1 en las posiciones indicadas: Posición a b c d f 0 0 0

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 4

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 4 CIRCUITOS ELECTRÓNICOS DIGITALES GRADO EN INGENIERÍA INFORMÁTICA TECNOLOGÍAS INFORMÁTICAS BOLETÍN DE PROBLEMAS 4 1.- Indique cuántos bits son necesarios, como mínimo, para representar cada uno de los siguientes

Más detalles

Universidad Carlos III de Madrid Electrónica Digital Ejercicios

Universidad Carlos III de Madrid Electrónica Digital Ejercicios 1. Determine la función lógica simplificada que realiza el circuito de la figura. Tenga en cuenta que las señales de mayor peso son las que tienen la numeración más alta. Todas las entradas y salidas son

Más detalles

SUMADORES Y COMPARADORES

SUMADORES Y COMPARADORES Universidad Nacional de Quilmes Diplomatura en Ciencia y Tecnología Circuito semisumador de un bit. TÉCNICAS DIGITALES Los circuitos sumadores entregan 2 datos: suma (S) y acarreo (A), y, este circuito

Más detalles

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL es un lenguaje de descripción de hardware Es útil para la síntesis y verificación de circuitos digitales Historia

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

6. Codificadores. Electrónica Digital. Tema

6. Codificadores. Electrónica Digital. Tema 6. Codificadores Un codificador realiza la función inversa al decodificador, es decir, al activarse una de las entradas, en la salida aparece la combinación binaria correspondiente al número decimal activado

Más detalles

CONTADORES CONTADORES ASINCRONOS ASCENDENTE

CONTADORES CONTADORES ASINCRONOS ASCENDENTE CONTADOES CONTADOES ASINCONOS ASCENDENTE S 2 J ck 2 K Vdd Vdd Vdd S J ck K S J ck K Las entradas asincronas S y estan desactivadas -- CI : 2 3 4 5 6 7 8 9 2 2 CONTADO ASINCONO DESCENDENTE S 2 J ck 2 K

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016 Solución al Trabajo Práctico - Junio de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

Problema Nº 1.a2.- Obtenga las siguientes conversiones numéricas. Problema Nº 1.a3.- Obtenga las siguientes conversiones numéricas. 9E36.

Problema Nº 1.a2.- Obtenga las siguientes conversiones numéricas. Problema Nº 1.a3.- Obtenga las siguientes conversiones numéricas. 9E36. Universidad Simón Bolivar EC173 Circuitos Digitales Trimestre: Septiembre_DIC_ 5 PROBLEMARIO Nº 1.- 1.a.- Problemas sistemas númericos Problema Nº 1.a1.- 0. =?. =? ( c) 67.4 =? d 15 C.3 =? Problema Nº

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería de Computadores Tecnología de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos).

ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). ELECTRÓNICA DIGITAL 24-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 11111100-4 10101010-86 01010110 86 2. Determinar el

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL. 30 ENERO 21 1º A (Superior) 1º B (Sistemas) 1º C (Gestión) SOLUCIONES 1.- Realiza los siguientes cambios de base, poniendo en todos los casos

Más detalles

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES Oscar Ignacio Botero Henao. CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES MULTIPLEXOR (MUX) Un Multiplexor (MUX) es un circuito combinacional al que entran varios canales de datos, y sólo salen los datos del

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos combinacionales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos combinacionales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos combinacionales Puertas lógicas simples y complejas. Multiplexores. Elementos varios: codificadores

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS Suma y resta binaria Diseño de un sumador Análisis del sumador Análisis de un sumador/restador Suma y resta en BCD Suma y resta en BCD exceso de tres Análisis

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2012

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2012 Solución al Trabajo Práctico - Junio de 2012 EJERCICIO 1 A continuación se muestran dos funciones lógicas F y G, que dependen de las cuatro variablesa,b,cydde la forma mostrada a continuación: F = A B

Más detalles

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2018

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2018 Trabajo Práctico - Junio 2018 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones propuestas

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

6. Sumadores y restadores

6. Sumadores y restadores 6. es y restadores Objetivos: Estudio de la tabla de verdad y de las diferentes estructuras internas de un sumador completo. Introducir mejoras en el diseño del sumador completo para reducir su tiempo

Más detalles

HOJA DE PROBLEMAS 5: ESPECIFICACIÓN Y DISEÑO DE CIRCUITOS COMBINACIONALES

HOJA DE PROBLEMAS 5: ESPECIFICACIÓN Y DISEÑO DE CIRCUITOS COMBINACIONALES Universidad Rey Juan Carlos Grado en Ingeniería de Computadores Tecnología de Computadores HOJA DE PROBLEMAS 5: ESPECIFICACIÓN Y DISEÑO DE CIRCUITOS COMBINACIONALES 1. Para cada una de las funciones dadas

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana Solución al examen de Junio 2012, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,x4,x5 entre los instantes 0 y

Más detalles

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb.

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb. Estructura de VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Electrónica Digital. Práctica 3: Dado Digital. Objetivo de la práctica: Conocimientos previos: Material necesario:

Electrónica Digital. Práctica 3: Dado Digital. Objetivo de la práctica: Conocimientos previos: Material necesario: Práctica 3: Dado Digital Objetivo de la práctica: El objetivo de la práctica es introducir algunas de las características reales de las puertas lógicas y el aprendizaje de circuitos combinacionales básicos.

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios.

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Práctico 3 Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Objetivo Conocer y entrenarse en las técnicas para la construcción de circuitos combinatorios de mediano porte. Conocer

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

INDICE. Definición de codificador Tipos de codificadores. Ejercicios. Referencias. Codificadores sin prioridad Codificadores con prioridad

INDICE. Definición de codificador Tipos de codificadores. Ejercicios. Referencias. Codificadores sin prioridad Codificadores con prioridad INDICE Definición de codificador Tipos de codificadores Codificadores sin prioridad Codificadores con prioridad Circuito comercial de la familia 74 Descripción VHDL del dispositivo Ejemplos de aplicaciones

Más detalles

PRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441

PRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441 CÓDIGO : LAB. Nº: 4 HORARIO: H-441 1. Con sumadores completos de 1 bit, elabore un circuito que obtenga el valor absoluto de un número en complemento a 2 de 4 bits. La salida tendrá 4 bits sin signo. (2

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014 Solución al Trabajo Práctico - Junio de 2014 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es contabilizar el número de señales de entrada que tienen valor

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales U_. Se desea transmitir las primeras cuatro letras del alfabeto de un ordenador ORD a otro ORD. En el primero las cuatro letras están codificadas en tres líneas X, X y X y en el segundo tan sólo en dos,

Más detalles

TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009.

TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009. TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009. EJERCICIO 1 (1,0 punto). El suministro de energía eléctrica de dos ciudades (ver figura) está gestionado

Más detalles

Tema 3. 2 Sistemas Combinacionales

Tema 3. 2 Sistemas Combinacionales Tema 3. 2 Sistemas Combinacionales Índice Circuitos combinacionales: concepto, análisis y síntesis. Métodos de simplificación de funciones lógicas. Estructuras combinacionales básicas Multiplexores Demultiplexores

Más detalles

2. Sumadores. Diagrama. Donde a y b son los bits a sumar, S el. resultado de la suma y C el acarreo generado. b EB. Circuito. Tabla de verdad.

2. Sumadores. Diagrama. Donde a y b son los bits a sumar, S el. resultado de la suma y C el acarreo generado. b EB. Circuito. Tabla de verdad. 2. Sumadores Los sumadores son cirtuitos muy utilizados en muchos tipos de sistemas digitales en los que se procesan datos numéricos. Para comprender su diseño y funcionamiento se parte del diseño de un

Más detalles

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES.

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. Sumadores básicos: Los sumadores son muy importantes no solamente en las computadoras, sino en muchos pos de sistemas digitales en los que se

Más detalles

8. Multiplexores Digitales

8. Multiplexores Digitales 8. Multiplexores Digitales El multiplexor permite seleccinar del total de líneas de entrada una única y trasladar la información que conlleva dicha línea a la salida del circuito. Suele utilizarse para

Más detalles

plicación de los circuitos SUMADOR DIBITAL S C

plicación de los circuitos SUMADOR DIBITAL S C plicación de los circuitos ógicos A B SUMADOR DIBITAL S C Aplicaciones de los circuitos lógicos Algunas aplicaciones elementales como los circuitos aritméticos digitales y los codificadores y decodificadores,

Más detalles

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales.

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales. Código Concurrente Código Concurrente El código VHDL puede ser concurrente o secuencial. Únicamente las sentencias colocadas dentro de un PROCESS, FUNCTION o PROCEDURE (los últimos dos son llamados subprogramas)

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

Práctica 0.- Introducción

Práctica 0.- Introducción Curso 2004/2005 1 Objetivos. Práctica 0.- Introducción El objetivo de esta práctica consiste en la realización de un pequeño montaje, así como su simulación, para que el alumno se familiarice con el laboratorio

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

Multiplicador Digital

Multiplicador Digital Multiplicador Digital Dr. Andrés David García García Departamento de Mecatrónica Escuela de Ingeniería y Ciencias Multiplicador Existen varios métodos básicos para el cálculo de la multiplicación de dos

Más detalles

Jorge Aliaga Verano Si No- Si Si- No

Jorge Aliaga Verano Si No- Si Si- No Si No- Si Si- No Parece raro que alguien se pudiera comunicar con solo dos palabras. Es lo que hacemos con todos los dispositivos digitales que usan el código binario ( 0 y 1 ) o dos estados lógicos (falso

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

Codificación de la información y álgebra de conmutación EDIG

Codificación de la información y álgebra de conmutación EDIG Codificación de la información y álgebra de conmutación Analógico vs. digital Analógico: Las señales varían de forma continua en un rango dado de tensiones, corrientes, etc. Digital: Las señales varían

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas

Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas Problemas propuestos Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas F(A, B, C) = AB + A B C F(A, B, C, D) = C (A + D) (A + B + D) F(A, B, C, D) = A + B

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

Lógica Digital - Circuitos Combinatorios

Lógica Digital - Circuitos Combinatorios Lógica Digital - Circuitos Combinatorios Expositor: Esteban Pontnau Primer Cuatrimestre de 2012 Departamento de Computación, FCEyN,Universidad de Buenos Aires. 3 de abril de 2012 Objetivos de la clase

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

Organización de Computadoras

Organización de Computadoras Organización de Computadoras SEMANA 1 UNIVERSIDAD NACIONAL DE QUILMES Qué vimos? Sistema Binario Interpretación Representación Aritmética Sistema Hexadecimal Hoy! Lógica proposicional Compuertas lógicas:

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

1.- Realizar la función f = Σ (1,2,3,6,7) con MUX de 1, de 2 y de 3 variables de control. Discutir el coste y dar la solución óptima razonable.

1.- Realizar la función f = Σ (1,2,3,6,7) con MUX de 1, de 2 y de 3 variables de control. Discutir el coste y dar la solución óptima razonable. Relación de Problemas.- Realizar la unción = Σ (,,,6,7) con MUX de, de y de variables de control. Discutir el coste y dar la solución óptima razonable..- Realizar con MUX- la unción = xx+ xxx+ 5 xxx+ xxx+

Más detalles

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES Ejercicio PAU Septiembre 2010/2011 a) Rellenamos la tabla de la verdad colocando salidas 1 en las posiciones indicadas: Posición a b c d f 0 0 0

Más detalles

4.3. Lenguaje VHDL Declaración de Packages

4.3. Lenguaje VHDL Declaración de Packages 4.3. Lenguaje VHDL El lenguaje VHDL (Very High Speed Integrates Circuit Hardware Description Language) es un lenguaje estándar utilizado para la descripción de los sistemas digitales. En este apartado

Más detalles

Dado el siguiente circuito digital, encontrar la tabla característica y la tabla de operación del flip-flop correspondiente

Dado el siguiente circuito digital, encontrar la tabla característica y la tabla de operación del flip-flop correspondiente Un flip-flop "S-R Set-dominante" difiere del flip-flop S-R normal en que cuando S y R están a valor lógico 1 simultáneamente se realiza la operación de Set. Obtener la tabla de operación, la tabla característica

Más detalles

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 5

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 5 CIRCUITOS ELECTRÓNICOS DIGITALES GRADO EN INGENIERÍA INFORMÁTICA TECNOLOGÍAS INFORMÁTICAS BOLETÍN DE PROBLEMAS 5 P1.- Realice la función f= Σ(0,3,6) con los siguientes componentes: a) Utilizando un decodificador

Más detalles

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37 ÍNDICE LISTA DE FIGURAS... 7 LISTA DE TABLAS... 11 CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN... 13 1.1. REPRESENTACIÓN DE LA INFORMACIÓN... 15 1.2. SISTEMAS DE NUMERACIÓN BINARIO NATURAL Y HEXADECIMAL... 18 1.3.

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Sesión 6: Diseño Lógico con VHDL

Sesión 6: Diseño Lógico con VHDL Sesión 6: Diseño Lógico con VHDL S6 VHDL: de la tecnología a la arquitectura de computadores. José J. Ruz Ortiz, Síntesis 997 Estilos de descripción de un circuito lógico S6 2 VHDL: de la tecnología a

Más detalles