2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory.

Tamaño: px
Comenzar la demostración a partir de la página:

Download "2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory."

Transcripción

1 FACULTAD DE INGENIERÍA ELECTRÓNICA. GRUPO SEDA SEDA.ESCUELAING.EDU.CO PROFESOR: JAVIER SOTO PHD. TUTORIAL CREACIÓN, SIMULACIÓN E IMPLEMENTACIÓN DE UN PROYECTO (VHDL) EN VIVADO DESCRIPCIÓN Este tutorial implementa un proyecto que incluye tres circuitos en el mismo diseño para la tarjeta BASYS3. El objetivo es presentar los pasos para la creación, simulación e implementación de un proyecto utilizando la herramienta Vivado En los códigos incluidos en el tutorial, se presenta como ejemplo, la sintaxis básica para la descripción de circuitos combinacionales y secuenciales en VHDL. También se presenta un archivo VHDL (testbench), que permite la generación de estímulos para la simulación de los circuitos. Los circuitos incluidos en los códigos de ejemplo son: o o o Sumador Completo (sumador de tres bits). Decodificador BCD a 7 segmentos. Contador binario de 4 bits. Nota: Si no tiene configurada por defecto la BASYS3 en Vivado revise el siguiente link para hacerlo: Vivado Version and Later Board File Installation. CREACIÓN Y SÍNTESIS DE UN PROYECTO 1. Abra el software Vivado y haga click sobre el icono Create New Project. 2. Escoja la ubicación y el nombre del proyecto. Seleccione la casilla Create project subdirectory. 3. Seleccione la opción RTL Project y active la casilla Do not specify sources at this time.

2 4. Seleccione la FPGA (o tarjeta) que vaya utilizar. En este tutorial utilizaremos la tarjeta BASYS3. Puede hacer la selección por partes o por tarjetas. Family: Artix7 Device: XC7A35T Package: CPG236 Speed: Se mostrará una ventana con el resumen. Haga click en Finish para continuar. 6. Cree una nueva fuente haciendo click en File Add Sources, o haciendo click en el icono. 7. Seleccione la opción Add or create design sources.

3 8. Haga click en Create File y escriba el nombre del archivo. Para este caso usaremos vhdl_synthesis, haciendo referencia a que es un archivo de síntesis, es decir que se describirá el circuito. 9. Haga click en Finish para continuar. 10. En la siguiente ventana podría escribir los nombres de los puertos del circuito. Para este caso haga click en OK para continuar y luego en Yes para confirmar los valores. 11. Haga doble click sobre el archivo creado para abrirlo. Copie, pegue y guarde el siguiente código en el nuevo archivo.

4 Company: Escuela Colombiana de Ingeniería Julio Garavito - Grupo SEDA Engineer: Javier Soto Vargas Create Date: 08:11:38 06/02/2017 Module Name: vhdl_synthesis - Behavioral Project Name: tutorialseda_creacion_simulacion_implementacion Target Devices: BASYS3 Description: Este proyecto fue creado como parte del tutorial para creación, simulación e implementación de un proyecto VHDL en Vivado. El proyecto presenta tres circuitos: 1. Sumador completo. 2. Decodificador BCD a 7 segmentos. 3. Contador binario de 8 bits. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity vhdl_synthesis is Port (clk_100mhz : in std_logic; Reloj BASYS3 de 100 HMz. Puertos para sumador completo sw_a, sw_b, sw_cin : in std_logic; SW(2:0) led_f, led_cout : out std_logic; LEDS (1:0) Puertos para decodificador BCD a 7 segmentos sw_bcd : in std_logic_vector (3 downto 0); SW(6:3) anodos : out std_logic_vector (3 downto 0) := x"0"; Ánodos displays segmentos : out std_logic_vector (7 downto 0); Segmentos displays Puertos para contador binario de 8 bits pb_reset_cont : in std_logic; BTNL pb_pausa_cont : in std_logic; BTNR sw_selector_frec : in std_logic; SW(7) leds_cont : out std_logic_vector (3 downto 0)); LEDS(15:12) end vhdl_synthesis; architecture Behavioral of vhdl_synthesis is signal cont4bits : std_logic_vector(3 downto 0); Señal interna para contador binario de 8 bits signal cont_pulsos : integer range 0 to 9_999_999; Contador de pulsos para frecuencias de trabajo signal limite_pulsos : integer range 0 to 9_999_999; Limite de pulsos led_f <= sw_a xor sw_b xor sw_cin; Sumador completo: led_cout <= (sw_a and sw_b) or f <= a xor b xor cin (sw_cin and (sw_a xor sw_b)); cout <= (a and b) or (cin and (a xor b)); segmentos <= " " when sw_bcd = x"0" else 0 Decodificador BCD a 7 segmentos " " when sw_bcd = x"1" else 1 segmentos <= (abcdefgh) " " when sw_bcd = x"2" else 2 a " " when sw_bcd = x"3" else 3 - " " when sw_bcd = x"4" else 4 f g b " " when sw_bcd = x"5" else 5 - " " when sw_bcd = x"6" else 6 e c " " when sw_bcd = x"7" else 7 - h " " when sw_bcd = x"8" else 8 d " " when sw_bcd = x"9" else 9 "XXXXXXXX"; process(clk_100mhz) Contador binario de 8 bits. Incluye controles de pausa y reinicialización. if (rising_edge (clk_100mhz)) then La frecuencia de trabajo depende de limite_pulsos if (pb_reset_cont = '1') then Frecuencias posibles: cont_pulsos <= 0; F1 = 10 Hz (visible en síntesis). cont4bits <= x"0"; F2 = 10 MHz (visible en simulación) elsif (pb_pausa_cont = '0') then if (cont_pulsos >= limite_pulsos ) then cont_pulsos <= 0; cont4bits <= cont4bits + 1; else cont_pulsos <= cont_pulsos + 1; end if; else cont4bits <= cont4bits; end if;

5 end if; end process; limite_pulsos <= 1 when sw_selector_frec = '1' else Selección para frecuencia de 10 Hz 9_999_999; Selección para frecuencia de 10 MHz leds_cont <= cont4bits; Conexión de señal cont8bits con sus correspondientes leds. end Behavioral; 12. Sintetice el circuito haciendo click en. Si el circuito fue sintetizado correctamente aparecerá el mensaje Synthesis Complete en la parte superior derecha del Vivado. Revise la ventana inferior para más detalles (errores, advertencias, mensajes, reportes, etc). SIMULACIÓN DEL PROYECTO 13. Cree una nueva fuente haciendo click en File Add Sources, o haciendo click en el icono. 14. Seleccione la opción Add or create simulation sources. 15. Haga click en Create File y escriba el nombre del archivo. Para este caso usaremos vhdl_simulation, haciendo referencia a que es un archivo de simulación, es decir que describirá los estímulos para la simulación del circuito diseñado en el archivo vhdl_synthesis. 16. Haga click en Finish para continuar.

6 17. En la siguiente ventana podría escribir los nombres de los puertos del circuito. Para este caso no tiene sentido ya que es un archivo de simulación. Haga click en OK para continuar y luego en Yes para confirmar los valores. 18. Haga doble click sobre el archivo creado. Copie, pegue y guarde el siguiente código en el nuevo archivo. Note que dentro de la sección sim_1, el archivo de simulación será el módulo de más alto nivel (top module). Company: Escuela Colombiana de Ingeniería Julio Garavito - Grupo SEDA Engineer: Javier Soto Vargas Create Date: 13:41:38 06/02/2017 Module Name: vhdl_simulation - Behavioral Project Name: tutorialseda_creacion_simulacion_implementacion Target Devices: BASYS3-DDR Description: Este proyecto fue creado como parte del tutorial para creación, simulación e implementación de un proyecto VHDL en Vivado. Este archivo VHDL genera los estímulos para la simulación del componente "vhdl_synthesis" que incluyo los circuitos: 1. Sumador completo. 2. Decodificador BCD a 7 segmentos. 3. Contador binario de 8 bits. library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE ieee.numeric_std.all; entity vhdl_simulation is end vhdl_simulation; architecture Behavioral of vhdl_simulation is component vhdl_synthesis is Declaración componente "vhdl_synthesis" Port (clk_100mhz : in std_logic; Reloj BASYS3 de 100 HMz. Puertos para sumador completo sw_a, sw_b, sw_cin : in std_logic; SW(2:0) led_f, led_cout : out std_logic; LEDS (1:0) Puertos para decodificador BCD a 7 segmentos sw_bcd : in std_logic_vector (3 downto 0); SW(6:3) anodos : out std_logic_vector (3 downto 0) := x"0"; Ánodos displays segmentos : out std_logic_vector (7 downto 0); Segmentos displays Puertos para contador binario de 8 bits pb_reset_cont : in std_logic; BTNL pb_pausa_cont : in std_logic; BTNR sw_selector_frec : in std_logic; SW(7) leds_cont : out std_logic_vector (3 downto 0)); LEDS(15:12) end component; Entradas. Declaración de señales para signal clk_100mhz : std_logic := '1'; instanciación y generación de estímulos signal sw_a, sw_b, sw_cin : std_logic := '0'; signal pb_reset_cont : std_logic := '0'; signal pb_pausa_cont : std_logic := '0'; signal sw_selector_frec : std_logic := '0'; signal sw_bcd : std_logic_vector (3 downto 0) := (others => '0'); Salidas. signal led_f, led_cout : std_logic;

7 signal anodos : std_logic_vector (3 downto 0); signal segmentos : std_logic_vector (7 downto 0); signal leds_cont : std_logic_vector (3 downto 0); constant clk_period : time := 10ns; Periodo del reloj: T = 10ns, F= 100 MHz uut: vhdl_synthesis port map( Instanciación del componente clk_100mhz => clk_100mhz, "vhdl_synthesize" con el nombre Puertos para sumador completo "uut" (Unit Under Test) sw_a => sw_a, sw_b => sw_b, sw_cin => sw_cin, led_f => led_f, led_cout => led_cout, Puertos para decodificador BCD a 7 segmentos sw_bcd => sw_bcd, anodos => anodos, segmentos => segmentos, Puertos para contador binario de 8 bits pb_reset_cont => pb_reset_cont, pb_pausa_cont => pb_pausa_cont, sw_selector_frec => sw_selector_frec, leds_cont => leds_cont ); estim_sum_com: process Estímulos para sumador completo. Se generan manualmente todas las sw_a <= '0'; sw_b <= '0'; sw_cin <= '0'; wait for 60ns; combinaciones posibles para las sw_a <= '0'; sw_b <= '0'; sw_cin <= '1'; wait for 60ns; tres entradas. sw_a <= '0'; sw_b <= '1'; sw_cin <= '0'; wait for 60ns; sw_a <= '0'; sw_b <= '1'; sw_cin <= '1'; wait for 60ns; sw_a <= '1'; sw_b <= '0'; sw_cin <= '0'; wait for 60ns; sw_a <= '1'; sw_b <= '0'; sw_cin <= '1'; wait for 60ns; sw_a <= '1'; sw_b <= '1'; sw_cin <= '0'; wait for 60ns; sw_a <= '1'; sw_b <= '1'; sw_cin <= '1'; wait for 60ns; wait; end process; estim_decobcd: process Estímulos para decodificador BCD a 7 segmentos. Se generan todas las combinaciones posibles para for i in 0 to 15 loop la señal "sw_bcd" mediante estructura FOR-LOOP sw_bcd <= std_logic_vector(to_unsigned(i, 4)); wait for 30 ns; end loop; end process; estim_clk: process Generación de estímulos para simular reloj a 100 MHz para el contador binario de 8 bits. clk_100mhz <= '1'; wait for clk_period/2; clk_100mhz <= '0'; wait for clk_period/2; end process; estim_cont: process Generación de estímulos para simular el contador binario de 8 bits. sw_selector_frec <= '1'; wait for clk_period*3; pb_reset_cont <= '1'; wait for clk_period; pb_reset_cont <= '0'; wait for clk_period*15; pb_pausa_cont <= '1'; wait for clk_period*7; pb_pausa_cont <= '0'; wait for clk_period*22; pb_reset_cont <= '1'; wait for clk_period; end process; end Behavioral; pb_reset_cont <= '0'; wait; 19. Para ejecutar la simulación comportamental del circuito, haga click en el icono Run Simulation y luego en Run Behavioral Simulation :

8 20. Se abrirá una ventana donde se verá la simulación generada. 21. Explore las diversas herramientas disponibles. Algunas de las más comunes son: En la ventana de simulación, haciendo click derecho sobre las señales, existen otras opciones de interés, tales como: Cambiar el color de las señales. El tipo de visualización (radix). El estilo de la onda. Agrupación de señales. Crear líneas divisoras (Divider). Creación de buses virtuales, Otras opciones. También puede crear varias ventanas de simulaciones haciendo click en Window Waveform 22. En la siguiente figura se ve la simulación del circuito después de aplicar algunas de las herramientas mencionadas anteriormente. Note que hay varios grupos de señales por cada uno de los circuitos ( Sumador completo en azul, Deco BCD en verde, Contador binario en magenta) y varias líneas divisoras ( Circuitos combinacionales, Circuito secuencial ).

9 Grupo de señales asociadas al sumador completo Señales del componente uut 23. Después de lograr la apariencia deseada en la simulación, conviene guardarla y adicionarla al proyecto usando la opción. De esta manera cada vez que inicie la simulación se mantendrá la configuración realizada. 24. Para cerrar la simulación haga click derecho sobre el icono Simulation y luego en Close Simulation. 25. Cuando realice un diseño propio seguramente tendrá que pasar por los procesos de síntesis y simulación varias veces hasta que esté conforme con el resultado. El siguiente paso será la implementación del circuito en la FPGA.

10 IMPLEMENTACIÓN DEL PROYECTO 26. Cree el archivo de configuración de pines, haciendo click en File Add Sources, o haciendo click en el icono. 27. Seleccione la opción Add or create constraints. 28. Hay dos opciones: Si ya dispone del archivo Basys3_Master.XDC con la configuración de pines, haga click en Add Files y busque el archivo, marque la opción Copy constraints files into project y haga click en Finish. Nota: puede descargar el archivo de la página web del fabricante o del siguiente link: Si no tiene el archivo haga click en Create File, ingrese un nombre para el nuevo archivo y haga click en Finish. 29. Abra el archivo y modifíquelo (o cópielo) según el código que se presenta a continuación. Tenga en cuenta que los comentarios están dados por el caracter numeral (#).

11 ## Clock signal set_property PACKAGE_PIN W5 [get_ports clk_100mhz] set_property IOSTANDARD LVCMOS33 [get_ports clk_100mhz] #create_clock -add -name sys_clk_pin -period waveform {0 5} [get_ports clk] ## Switches - Sumador Completo set_property PACKAGE_PIN V17 [get_ports {sw_cin}] set_property IOSTANDARD LVCMOS33 [get_ports {sw_cin}] set_property PACKAGE_PIN V16 [get_ports {sw_b}] set_property IOSTANDARD LVCMOS33 [get_ports {sw_b}] set_property PACKAGE_PIN W16 [get_ports {sw_a}] set_property IOSTANDARD LVCMOS33 [get_ports {sw_a}] ## Switches - Decodificador BCD set_property PACKAGE_PIN W17 [get_ports {sw_bcd[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw_bcd[0]}] set_property PACKAGE_PIN W15 [get_ports {sw_bcd[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw_bcd[1]}] set_property PACKAGE_PIN V15 [get_ports {sw_bcd[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw_bcd[2]}] set_property PACKAGE_PIN W14 [get_ports {sw_bcd[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw_bcd[3]}] ## Switch - Selector de frecuencia para Contador Binario set_property PACKAGE_PIN W13 [get_ports {sw_selector_frec}] set_property IOSTANDARD LVCMOS33 [get_ports {sw_selector_frec}] ## LEDs - Sumador Completo set_property PACKAGE_PIN U16 [get_ports {led_f}] set_property IOSTANDARD LVCMOS33 [get_ports {led_f}] set_property PACKAGE_PIN E19 [get_ports {led_cout}] set_property IOSTANDARD LVCMOS33 [get_ports {led_cout}] ## LEDs - Contador Binario set_property PACKAGE_PIN P3 [get_ports {leds_cont[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {leds_cont[0]}] set_property PACKAGE_PIN N3 [get_ports {leds_cont[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {leds_cont[1]}] set_property PACKAGE_PIN P1 [get_ports {leds_cont[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {leds_cont[2]}] set_property PACKAGE_PIN L1 [get_ports {leds_cont[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {leds_cont[3]}] ##7 segment display - segmentos set_property PACKAGE_PIN W7 [get_ports {segmentos[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {segmentos[7]}] set_property PACKAGE_PIN W6 [get_ports {segmentos[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {segmentos[6]}] set_property PACKAGE_PIN U8 [get_ports {segmentos[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {segmentos[5]}] set_property PACKAGE_PIN V8 [get_ports {segmentos[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {segmentos[4]}] set_property PACKAGE_PIN U5 [get_ports {segmentos[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {segmentos[3]}] set_property PACKAGE_PIN V5 [get_ports {segmentos[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {segmentos[2]}] set_property PACKAGE_PIN U7 [get_ports {segmentos[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {segmentos[1]}] set_property PACKAGE_PIN V7 [get_ports {segmentos[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {segmentos[0]}] ##7 segment display - ánodos set_property PACKAGE_PIN U2 [get_ports {anodos[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {anodos[0]}] set_property PACKAGE_PIN U4 [get_ports {anodos[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {anodos[1]}] set_property PACKAGE_PIN V4 [get_ports {anodos[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {anodos[2]}] set_property PACKAGE_PIN W4 [get_ports {anodos[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {anodos[3]}] ##Buttons - Contador Binario - BTNL-reset - BTNR-pausa set_property PACKAGE_PIN W19 [get_ports pb_reset_cont] set_property IOSTANDARD LVCMOS33 [get_ports pb_reset_cont] set_property PACKAGE_PIN T17 [get_ports pb_pausa_cont] set_property IOSTANDARD LVCMOS33 [get_ports pb_pausa_cont]

12 30. Genere el bitstream haciendo click en la opción. Tenga en cuenta que se ejecutarán los procesos Run Synthesis y Run Implementation automáticamente, en caso de que no se hayan realizado previamente. Cuando el proceso termine aparecerá el mensaje write_bitstream Complete en la parte superior derecha de la pantalla. 31. Asegúrese de posicionar los jumpers de la tarjeta adecuadamente, en este caso en modo de programación JTAG y alimentación a través del puerto USB. Conecte el cable USB-microUSB al puerto etiquetado como PROG, y ponga el switch de alimentación en la posición ON. Posición para alimentación por USB La imagen muestra la posición QSPI, debe moverlo a la posición JTAG Switch ON/OFF Puerto de programación 32. Para conectarse a la tarjeta debe seleccionar la opción Open Target Auto Connect. 33. Para programar la tarjeta de seleccionar la opción Program Device xc7a35t_0, y luego Program para confirmar las opciones. 34. Una vez programado el dispositivo, verifique el comportamiento del circuito moviendo los switches. Recuerde que deben funcionar simultáneamente los circuitos Sumador Completo, Deco BCD y Contador Binario.

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera.

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Simulación avanzada con Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Desc. del Problema Descripción HDL Synthesis Place and Route / Fit 2 - Generalidades - Generación de Estímulos Agenda - Asignaciones

Más detalles

Introducción a la simulación con ModelSim.

Introducción a la simulación con ModelSim. Introducción a la simulación con ModelSim. Este es un resumen de la funcionalidad básica del simulador ModelSim. Model Sim permite muchas cosas más. Puede encontrar más información en Help->PDF Documentation->Tutorial

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Introducción Al ejecutar el software

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

Tutorial de ModelSim PE Student Edition

Tutorial de ModelSim PE Student Edition Tutorial de ModelSim PE Student Edition Instalación Ir a http://portal.model.com/modelsim/downloads/license_agreement_form_gen.asp Rellenar los datos y selecionar Request Download Seleccionar el enlace

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 7

Sistemas Electrónicos Digitales. PRACTICA nº 7 PRACTICA nº 7 Diseño de Memorias RAM y ROM. Síntesis e implementación sobre dispositivos FPGA. Síntesis empleando FFs y síntesis utilizando bits de RAM dedicados Objetivos: Descripción y síntesis de memorias

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Práctica 1 Práctica 1 Sistemas Electrónicos Digitales Introducción al diseño electrónico digital con VHDL Universidad de Alicante Ángel Grediaga. Francisco J.Brotons 2 Índice 1 INTRODUCCIÓN... 3 2 OBJETIVO...

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos generales

Más detalles

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Iván González, Juan González, Francisco Gómez-Arribas Escuela Politécnica Superior Universidad Autónoma de Madrid

Más detalles

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 Objetivo: Diseño e implementación de un cronómetro digital usando la herramienta de diseño Xilinx Foundation. El diseño se realizará con captura de

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S Lab 6 Cuádruple registro de 16 bits y 3 puertos E/S Objetivo: Codificar en VHDL y verificar el funcionamiento de un registro de cuatro palabras y de las operaciones de lectura y escritura sobre el mismo.

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5 Planificaciones 8641 - Sistemas Digitales Docente responsable: ALVAREZ NICOLAS 1 de 5 OBJETIVOS El objetivo de la materia es aprender a diseñar arquitecturas digitales utilizando como herramienta los lenguajes

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna 1 Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna Adaptaciones por Jhon Esteban Valencia y Mónica Vallejo para el curso de Electrónica

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el diseño y simulación de un circuito digital con VHDL, síntesis e implementación en un FPGA Alumno : Grupo : Prof. M. C. Felipe

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs

DISEÑO DE PROCESADORES DEDICADOS. Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs DISEÑO DE PROCESADORES DEDICADOS Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs DR. JUAN CARLOS HERRERA LOZADA jlozada@ipn.mx Instituto Politécnico

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11

MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11 MANUAL. Practica 1 Diseño de sistemas digitales (telecomunicaciones) con KIT basys2 Xilinx FCHE-MAIC 26/10/11 Objetivo1: conocer cómo funciona la tarjeta Objetivo2: Comprender como se construyen sistemas

Más detalles

TRABAJO DE FINAL DE CARRERA

TRABAJO DE FINAL DE CARRERA TRABAJO DE FINAL DE CARRERA TÍTULO DEL TFC: TITULACIÓN: AUTOR: DIRECTOR: Introducción al diseño de sistemas digitales reconfigurables en FPGA con VHDL y la tarjeta Spartan-3AN de Xilinx Ingeniería Técnica

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPÍTULO B. GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CONTENIDO 5.1 Introducción. 5.2 Diseño de un generador digital de tren de pulsos programable

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas Carlos Andrés Luna Vázquez Lección 10 Problemas 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

Sistemas Digitales. Guía 02 UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA. I. Objetivos. II. Introducción Teórica

Sistemas Digitales. Guía 02 UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA. I. Objetivos. II. Introducción Teórica UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA CICLO: 01-2013 Guía de laboratorio Nº2 Nombre de la práctica: Simulación en Circuit Maker Lugar de ejecución: Laboratorio

Más detalles

Práctica nº 4. Herramienta de diseño digital Quartus II.

Práctica nº 4. Herramienta de diseño digital Quartus II. Grado en Ingeniería de Tecnologías de Telecomunicación. Escuela Técnica Superior de Ingeniería Industrial y de Telecomunicación. Electrónica Digital I. Práctica nº 4. Herramienta de diseño digital Quartus

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-27 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Sequential Logic Described in VHDL - A Design Example ARTECHE DIAZ Raul Center of

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Práctica 6. Diseño Lógico Digital mediante VHDL

Práctica 6. Diseño Lógico Digital mediante VHDL Práctica 6. Diseño Lógico Digital mediante VHDL 6.1. Objetivos Aprender a diseñar circuitos lógicos digitales mediante el uso de lenguajes de descripción de hardware como VHDL. 6.2. Introducción al VHDL

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Enunciados de Prácticas de Laboratorio Estructura de Computadores Nota: Los archivos

Más detalles

Tutorial de Xilinx ISE

Tutorial de Xilinx ISE Tutorial de Xilinx ISE Eduardo Magdaleno Castelló Manuel Rodríguez Valido Universidad de La Laguna Introducción al Diseño Lógico Digital Tabla de Contenidos ISE Quick Start Tutorial Objetivos de este Tutorial...

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

PRÁCTICA DE SÍNTESIS

PRÁCTICA DE SÍNTESIS PRÁCTICA DE SÍNTESIS El sumador restador en complemento a dos 1.- Introducción Mediante el complemento a dos se simplifica la circuitería necesaria para realiar las operaciones suma y resta de números

Más detalles

INSTRUCTIVO PARA EL USO DEL PROGRAMA QUARTUS2 V7.2 DE ALTERA

INSTRUCTIVO PARA EL USO DEL PROGRAMA QUARTUS2 V7.2 DE ALTERA UNIVERSIDAD AUTONOMA METROPOLITANA UNIDAD AZCAPOTZALCO DIVISIÓN DE CIENCIAS BÁSICAS E INGENIERÍA DEPARTAMENTO DE ELECRÓNICA INSTRUCTIVO PARA EL USO DEL PROGRAMA QUARTUS2 V7.2 DE ALTERA DR. ISAAC SCHNADOWER

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el Diseño y Simulación de un circuito digital con VHDL, Síntesis e Implementación en un FPGA Profesor: M. C. Felipe Santiago Espinosa

Más detalles

Descarga e Instalación de Java Development Kit (JDK)

Descarga e Instalación de Java Development Kit (JDK) Instalación del SDK de Android en Eclipse Por M.T.I. Jorge Carranza Gómez Para desarrollar aplicaciones para el Sistema Operativo Android, se deben revisar los requisitos mínimos para instalar el entorno

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

CONTADORES CONTADORES ASINCRONOS ASCENDENTE

CONTADORES CONTADORES ASINCRONOS ASCENDENTE CONTADOES CONTADOES ASINCONOS ASCENDENTE S 2 J ck 2 K Vdd Vdd Vdd S J ck K S J ck K Las entradas asincronas S y estan desactivadas -- CI : 2 3 4 5 6 7 8 9 2 2 CONTADO ASINCONO DESCENDENTE S 2 J ck 2 K

Más detalles

Implementación de Filtros Digitales Tipo FIR en FPGA

Implementación de Filtros Digitales Tipo FIR en FPGA Implementación de Filtros Digitales Tipo FIR en FPGA Jesús Antonio Álvarez Cedillo, Klauss Michael Lindig Bos, Gustavo Martínez Romero Resumen En este artículo se hace la descripción del diseño de un filtro

Más detalles

PLATAFORMA DE DISEÑO QUARTUS

PLATAFORMA DE DISEÑO QUARTUS PLATAFORMA DE DISEÑO QUARTUS 199 Pasos para trabajar en la tarjeta intel DE2i-150 FPGA: Lo primero que se debe hacer es prender la tarjeta y esperar a que se cargue el sistema operativo UBUNTU. Ver la

Más detalles

Módulo 2 n. Figura 2.1. Simbología de un contador

Módulo 2 n. Figura 2.1. Simbología de un contador Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar el número de pulsos que llegan a su entrada de reloj. En muchas ocasiones

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

RAS Mobile. Manual de usuario. Aplicación de monitorización para iphone, ipod Touch y ipad

RAS Mobile. Manual de usuario. Aplicación de monitorización para iphone, ipod Touch y ipad Este manual ha sido traducido por CCTV Center S.L. Todos los derechos reservados. RAS Mobile Aplicación de monitorización para iphone, ipod Touch y ipad Manual de usuario Aplicación de monitorización para

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Antecedentes: Memorias como ROM etc. ANEXO: Formulario. Resumen de circuitos combinacionales y secuenciales TIP: Códigos para copiar rápido en Word,

Más detalles

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09 Lenguaje VHDL Código para representar sistemas digitales en VHDL 1 Las secciones fundamentales que forman el código en VHDL son: librería (LIBRARY), entidad (ENTITY) y arquitectura (ARCHITECTURE). LIBRARY:

Más detalles

MANUAL DE INSTALACIÓN Y USO DE FBackup

MANUAL DE INSTALACIÓN Y USO DE FBackup MANUAL DE INSTALACIÓN Y USO DE FBackup FBackup es una herramienta gratuita para realizar copias de seguridad de nuestra información. Con FBackup podrás crear tus copias de seguridad tanto personales como

Más detalles

CycloAgent v2 Manual del usuario

CycloAgent v2 Manual del usuario CycloAgent v2 Manual del usuario Tabla de contenido Introducción...2 Desinstalar la herramienta de escritorio actual de MioShare...2 Instalar CycloAgent...4 Iniciar sesión...8 Registrar el dispositivo...8

Más detalles

RAS Mobile. Manual de usuario. Aplicación de monitorización para iphone, ipod Touch y ipad

RAS Mobile. Manual de usuario. Aplicación de monitorización para iphone, ipod Touch y ipad Este manual ha sido traducido por CCTV Center S.L. Todos los derechos reservados. RAS Mobile Aplicación de monitorización para iphone, ipod Touch y ipad Manual de usuario Tabla de contenidos RAS Mobile...

Más detalles

Laboratorio 01: Generación del Hola Mundo Android

Laboratorio 01: Generación del Hola Mundo Android Laboratorio 01: Generación del Hola Mundo Android OBJETIVO: El objetivo del laboratorio es generar un programa Android y ejecutar el programa en el emulador. Paso 1: Figura 1. Pantalla de inicio de Android

Más detalles

Manual de instalación y actualización de DAVIE4

Manual de instalación y actualización de DAVIE4 Manual de instalación y actualización de DAVIE4 Para DAVIE versión 4.9.0 15.49.4 y posterior Nombre: Manual de instalación y actualización de DAVIE4 Fecha: 23-12-2015 Nombre del autor: FAM DAVIE Customer

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

Vaya a Guardar proyecto y escoja una carpeta y un nombre de fichero para el nuevo proyecto.

Vaya a Guardar proyecto y escoja una carpeta y un nombre de fichero para el nuevo proyecto. Un clip por pista Un clip es simplemente un fragmento de sonido. Independientemente del origen del sonido (ya sea importado, grabado, dividido o duplicado desde otra pista) cada pista sólo puede contener

Más detalles

CycloAgent Manual del usuario

CycloAgent Manual del usuario CycloAgent Manual del usuario Tabla de contenido Introducción...2 Desinstalar la herramienta de escritorio actual de MioShare...2 Instalar CycloAgent...3 Usar CycloAgent...7 Registrar el dispositivo...8

Más detalles

CÁMARA IP INALÁMBRICA HD 720p VISIÓN NOCTURNA, AUDIO Y FUNCIÓN DE GRABACIÓN

CÁMARA IP INALÁMBRICA HD 720p VISIÓN NOCTURNA, AUDIO Y FUNCIÓN DE GRABACIÓN CÁMARA IP INALÁMBRICA HD 720p VISIÓN NOCTURNA, AUDIO Y FUNCIÓN DE GRABACIÓN VTA-83700 Gracias por adquirir nuestra CÁMARA! Antes de instalar y operar el producto, se recomienda tener en cuenta las instrucciones

Más detalles

MANUAL DE INSTRUCCIONES

MANUAL DE INSTRUCCIONES ESPAÑOL Gracias por comprar este producto Briteq. Para aprovechar todas sus posibilidades, por favor lea muy detenidamente estas instrucciones de uso. CARACTERÍSTICAS Esta unidad se puede utilizar para

Más detalles

COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02)

COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02) COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02) Hardware necesario: PLC Siemens S7-300 (cualquier CPU con puerto Profibus DP) PLC DVP (SA,SX,SE,SV) Módulo Esclavo Profibus para PLC DVP(DVPPF02)

Más detalles

DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos

DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos ASIGNATURA Microelectrónica FECHA Junio - 2009 APELLIDOS,NOMBRE SOLUCION Nº Lista 1 2 3 4 5 6 7 T Cuestión 1 (10 ptos) Nota: las respuestas

Más detalles

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada ABEL Para Síntesis Dr. Juan C. Herrera Lozada jlozada@ipn.mx Caso de Estudio 1: Diseño Combinacional ABEL permite la descripción de circuitos mediante tablas de verdad, ecuaciones y diagramas de estado.

Más detalles

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK OBJETIVO: Implementar un sistema embebido personalizado en la Spartan3e, utilizando

Más detalles

Asignatura: Tecnología de Computadores. Bloque 1: Introducción Tema 3: Introducción a los lenguajes de descripción de hardware

Asignatura: Tecnología de Computadores. Bloque 1: Introducción Tema 3: Introducción a los lenguajes de descripción de hardware Titulación: ió Grado en Ingeniería de Computadores Asignatura: Tecnología de Computadores Bloque 1: Introducción Tema 3: Introducción a los lenguajes de descripción de hardware Pablo Huerta Pellitero e

Más detalles

Manual Cámara IP I4620BP

Manual Cámara IP I4620BP Manual Cámara IP I4620BP 1 Características principales de cada modelo 1. I4620BP 2 Megapíxeles Lente 3.6 mm 24 LEDs Infrarrojos Resolución 720p Soporta protocolo ONVIF Para interiores o exteriores Conexión

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

Práctica III Introducción a la descripción VHDL del procesador DLX

Práctica III Introducción a la descripción VHDL del procesador DLX Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 3 Práctica III Introducción a la descripción VHDL del procesador DLX 3.1. Implementación del procesador DLX La configuración

Más detalles