TECNOLOGÍA DE COMPUTADORES. CURSO 2016/17. Problemas Temas 7

Tamaño: px
Comenzar la demostración a partir de la página:

Download "TECNOLOGÍA DE COMPUTADORES. CURSO 2016/17. Problemas Temas 7"

Transcripción

1 TECNOLOGÍA DE COMPUTADORES. CURSO 2016/17. Problemas Temas 7 1) Identifica el circuito de la figura: A Codificador 2x4 con Enable invertido B Decodificador 2x4 con salida invertida C Decodificador 3x4 2) Identifica el circuito de la figura: AMultiplexor de tres entradas de selección B Decodificador de 8 canales C Multiplexor de 4 entradas de datos sin entrada de Enable 3) Señala lo correcto respecto a la Figura de este ejercicio. A La función de salida A vale 0 siempre que a 2 =0 independientemente de las entradas a los MUX 4x1. B Es un MUX 8x1 donde siempre existe un único MUX 4x1 activo C Los MUX 4x1 pueden estar activos al mismo tiempo.

2 Ejercicio 3 4). La primera forma canónica de la función F de la figura abajo izquierda es: A F = Σ m(2,3,4,6) B F = Σ m(0,1,5,7) C F = Π M(2,3,4,6) 5) En el circuito de la figura marca la correcta A F(A,B,C,D) = Σ m(0,2,5,7) B F(A,B,C,D) = 0 C F(A,B,C,D) = Σ m(3,9)

3 6) El circuito de la figura realiza la siguiente función: A La salida es la representación en binario del número de entrada más dos unidades si números de entrada son menores que 4. B Las salidas son siempre x=0 y=0 z=0 C Las salidas son siempre x=0 y=1 z=0 7) En el circuito de la figura, señala lo correcto: A La función f no depende de la variable B B f=acd Cf=0 8) Cuál de los siguientes circuitos cumple la ecuación F(b,a,c) = Π M(1,2,5,7)? A B C

4 9) Se desea diseñar un conversor BCD a display de 7 segmentos (Figura 1). Considerar que A es la variable más significativa del código BCD y que el segmento se enciende cuando se le aplica un 1 lógico. Tener en cuenta ABCD siempre será un código BCD válido. Figura 1 El display se verá a través de un espejo, con lo cual los segmentos que se deberán encender no son los mismos que si el display se viera directamente. La selección de segmentos que deberán encenderse aparece en las figuras adjuntas, dependiendo que el espejo se coloque de forma horizontal (Figura 2) o de forma vertical (Figura 3). Figura 2 Figura 3 Se trata de estudiar cuál de las dos formas de colocar el cristal produce un diseño más sencillo, para lo cual se pide: Realizar la tabla de verdad de los 7 segmentos en cada uno de los dos casos. Los siguientes casos se refieren sólo a la parte horizontal: Implementar las funciones a, b,c y d con un único DEC 4x16 con salidas activas a nivel bajo. Si es posible, realízalo con un DEC 3x8 con salida activa a nivel bajo. Implementar la función e con un MUX 4x1. Implementar la función f con un MUX 8x1. Implementar la función g con un DEC 3x8 con salida activa a nivel bajo y las puertas que se necesiten.

5 10) Dado el circuito de la figura, encontrar la tabla de verdad e implementarlo con un único MUX 4x1, y, si es necesario, alguna puerta en la entrada del mismo, colocando en las líneas de selección A (más significativa) y C (menos significativa). 11) En la cuenca de un río existen dos embalses: el embalse superior y el embalse inferior. En cada uno de ellos existen dos sensores de nivel y un accionamiento para abrir las compuertas de desagüe, tal como muestra la Figura 1. Además existe un bomba hidráulica que impulsa agua del embalse inferior al superior a través de una conducción como método para almacenar energía. Se debe diseñar un circuito combinacional que controle las compuertas de desagüe y la bomba hidráulica según la siguiente especificación: - La compuerta X debe activarse cuando el embalse inferior esté lleno y se active el sensor A. De igual forma, la compuerta Y del embalse superior debe activarse cuando se active C. Para asegurar un nivel mínimo en el embalse inferior, la compuerta Y también debe activarse cuando D esté activado y B desactivado (embalse inferior vacio). - La bomba hidráulica Z debe activarse siempre que B esté activado y C no esté activado. - Existe adicionalmente una salida W que debe activarse siempre que se dé una situación incongruente en el estado de los sensores para avisar de que algún sensor puede no estar funcionando correctamente. a) Realizar la tabla de la verdad a partir de la especificación dada. b) Diseña la función Y con un DEC 3x8 y salidas activas a nivel bajo. c) Diseña la función Z usando un MUX 4x1. d) Expresar la función W utilizando decodificadores y multiplexores.

6 12) Se desea diseñar un sistema digital para el control de tráfico en un cruce de calles en Ciudad Real, según el esquema adjunto. Cada cruce tiene dos luces, una roja R (prohibido pasar) y otro verde V (permitido pasar), ambas complementarias. El ciclo de funcionamiento consta de 128 segundos y se repite periódicamente. Durante los primeros 56 segundos se permite la circulación de coches de la Ronda de Granada en ambos sentidos. Durante los 40 segundos posteriores, los coches procedentes de la Avenida de las Lagunas de Ruidera tienen paso libre y durante los últimas 32 segundos pueden circular los procedentes de la calle Ciruela. Las entradas al circuito a diseñar serán las salidas de un contador, ajeno a nuestro diseño, que proporciona la codificación binaria del 0 y 15 de forma periódica con una cadencia de 8 segundos por número. Es decir, pasa de un número al siguiente cada 8 segundos. Llamar A, B, C y D las salidas del contador (y entradas del circuito a diseñar), siendo A la variable más significativa. Se pide 1) Realizar la tabla de la verdad sólo de las funciones V a partir de la especificación dada. 2) Expresar la función V1 con un MUX 4x1, siendo A y B las líneas de selección. 3) Expresar la función V2 con un MUX 4x1, siendo C y D las líneas de selección. 4) Expresar la función V3 con un DEC 2x4 con salidas activas a nivel alto. 5) Expresar la función V4 con un DEC 3x8 con salidas activas a nivel bajo.

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

Tema 3: Sistemas Combinacionales

Tema 3: Sistemas Combinacionales Ejercicios T3: Sistemas Combinacionales Fundamentos de Tecnología de Computadores Tema 3: Sistemas Combinacionales 1. Analizar el siguiente circuito indicando la expresión algebraica que implementa, la

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

3. Prácticas: Simplificación de funciones

3. Prácticas: Simplificación de funciones 3. Prácticas: Simplificación de funciones I. Ejercicios teóricos 1. Representar en un mapa de Karnaugh la siguiente función 2. Representar en un mapa de Karnaugh la siguiente función 3. Representar en

Más detalles

Sistemas Digitales. Circuitos Codificadores

Sistemas Digitales. Circuitos Codificadores Sistemas Digitales Circuitos Codificadores Se definen como tal, a circuitos combinacionales que tienen 2 n entradas y n salidas, aunque en algunos casos prácticos, suelen tener menos entradas. A cada una

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

SIMPLIFICACIÓN DE FUNCIONES LÓGICAS

SIMPLIFICACIÓN DE FUNCIONES LÓGICAS LABORATORIO # 4 Realización: SIMPLIFICACIÓN DE FUNCIONES LÓGICAS 1. OBJETIVOS Los objetivos de este laboratorio es que Usted, aprenda a: Simplificar funciones utilizando mapas de Karnaugh Utilizar compuertas

Más detalles

Universidad Nacional de Quilmes

Universidad Nacional de Quilmes Universidad Nacional de Quilmes ASIGNATURA : TECNICAS DIGITALES CURSO :... CICLO LECTIVO : 2010 T. P. Nro. : II NOMBRE DEL T. P. : MBINACIONAL II: Decodificadores, Multiplexor, DeMultiplexor, Comparador,

Más detalles

SELECCIÓN DE PROBLEMAS

SELECCIÓN DE PROBLEMAS SELECCIÓN DE PROBLEMAS 1. Representación numérica 1.1. Convertir a hexadecimal y a binario las siguientes cantidades: a) 757.25 10 b) 123.17 10 1.2. Se dispone de palabras de 10 bits. Representar mediante

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

TEMA 8. REGISTROS Y CONTADORES.

TEMA 8. REGISTROS Y CONTADORES. TEMA 8. REGISTROS Y CONTADORES. TECNOLOGÍA DE COMPUTADORES. CURSO 2007/08 8.1. Registros. Tipos de registros. Registros de desplazamiento. Los registros son circuitos secuenciales capaces de almacenar

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2012 Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración

Más detalles

PROBLEMAS DE ELECTRÓNICA DIGITAL. Simplificación por Karnaugh: CIRCUITO LÓGICO:

PROBLEMAS DE ELECTRÓNICA DIGITAL. Simplificación por Karnaugh: CIRCUITO LÓGICO: PROBLEMAS DE ELECTRÓNICA DIGITAL.- Un contactor R para el accionamiento de un motor eléctrico, está gobernado por la acción combinada de tres finales de carrera A, B y C. Para que el motor pueda funcionar,

Más detalles

PRUEBAS DE ACCESO A LA UNIVERSIDAD DE JUNIO DE 2005 MATERIA: TECNOLOGÍA INDUSTRIAL II

PRUEBAS DE ACCESO A LA UNIVERSIDAD DE JUNIO DE 2005 MATERIA: TECNOLOGÍA INDUSTRIAL II PRUEBAS DE ACCESO A LA UNIVERSIDAD DE JUNIO DE 2005 MATERIA: TECNOLOGÍA INDUSTRIAL II P1) Dado el sistema neumático mostrado en la figura: a) Identifica los elementos -y su funcionamiento- cuya sección

Más detalles

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

F.R.H. DEPARTAMENTO MECÁNICA

F.R.H. DEPARTAMENTO MECÁNICA PARTE 1. ÁLGEBRA DE BOOLE. FUNCIONES LÓGICAS. DIAGRAMAS DE KARNAUGH. 1.1. Diseñar el circuito lógico, por minitérminos y simplificado por Karnaugh, de la siguiente tabla de verdad: 1.2. Para el circuito

Más detalles

Apuntes de Regulación y Automatización. Prácticas y Problemas.

Apuntes de Regulación y Automatización. Prácticas y Problemas. TEMA 3. AUTOMATISMOS Y AUTÓMATAS PROGRAMABLES. IMPLEMENTACION DE GRAFCET. OBJETIVOS: Los diseños e introducidos en el tema anterior, se traducen de manera sencilla a unas funciones lógicas concretas, esta

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

GUIA DE COMPONENTE PRACTICO

GUIA DE COMPONENTE PRACTICO GUIA DE COMPONENTE PRACTICO Con el propósito de fomentar el desarrollo de habilidades en el diseño e implementación física de circuitos digitales, se ha diseñado un componente práctico que será desarrollado

Más detalles

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios.

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios. 1. DATOS DE LA ASIGNATURA Nombre de la asignatura Carrera Clave de la asignatura Horas teoría-horas práctica-créditos Electrónica II Electromecánica EMM-0516 3-2-8 2. HISTORIA DEL PROGRAMA Lugar y fecha

Más detalles

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación:

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación: L. Nº: 2 HORRIO: H-441 FECH: 22/09/2005 El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación: De las 4 entradas, sólo se deja pasar una de ellas, la decisión de

Más detalles

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma.

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma. KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS Luces secuenciales con efecto de scanner o simulador de alarma. Tabla de Contenido DEFINICIÓN FUNCIONAMIENTO LISTA DE PARTES ENSAMBLE REFERENCIAS DEFINICIÓN

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

Registros y contadores

Registros y contadores Universidad Rey Juan Carlos Registros y contadores Norberto Malpica norberto.malpica@urjc.es Ingeniería de Tecnologías Industriales Registros y contadores 1 Esquema 1. Concepto de registro. 2. Registros

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración Objetivo: Familiarizarse

Más detalles

Resistores en circuitos eléctricos

Resistores en circuitos eléctricos Resistores en circuitos eléctricos Experimento : Resistencias en circuitos eléctricos Estudiar la resistencia equivalente de resistores conectados tanto en serie como en paralelo. Fundamento Teórico. Cuando

Más detalles

El número decimal 57, en formato binario es igual a:

El número decimal 57, en formato binario es igual a: CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. NÚMEROS BINARIOS EJEMPLO En el cuadro anterior, está la representación de los números binarios en formato

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

CBTIS 122 CIRCUITOS DIGITALES ACADEMIA DE MECATRONICA INDICE

CBTIS 122 CIRCUITOS DIGITALES ACADEMIA DE MECATRONICA INDICE CYNTHIA P. GUERRERO SAUCEDO PALOMA G. MENDOZA VILLEGAS INDICE 1. USO DEL PROTOBOARD Y COMPONENTES BASICOS..2 2. SUMADOR BINARIO DE 4 BITS.. 7 3. EVALUACION DE UN CIRCUITO LOGICO DE 3 VARIABLES.. 9 4. IMPLEMENTACION

Más detalles

Sistemas Combinacionales y Sistemas Secuenciales

Sistemas Combinacionales y Sistemas Secuenciales y Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 y 1 2 3 y Contenido Al hablar de sistemas, nos referimos

Más detalles

Ejercicios. Arquitectura de Computadoras. José Garzía

Ejercicios. Arquitectura de Computadoras. José Garzía jercicios de rquitectura de Computadoras José Garzía n la figura se representa el diagrama de flujo de un algoritmo. B X Y +B í + 7=? No B B+ C +B Los registros, B y C tienen una longitud de 8 bits. 7

Más detalles

Principios básicos de PLC y familia DirectLogic

Principios básicos de PLC y familia DirectLogic Principios básicos de PLC y familia DirectLogic Introducción El Controlador Lógico Programable (PLC) es una tecnología muy difundida para hacer automatización de procesos secuenciales, surgió como solución

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

PRÁCTICAS DE ELECTRÓNICA DIGITAL

PRÁCTICAS DE ELECTRÓNICA DIGITAL PRÁCTICAS DE ELECTRÓNICA DIGITAL Práctica 0: CONEXIÓN DE LOS CIRCUITOS INTEGRADOS (C.I.) 1º: Para que funcionen correctamente, han de estar conectados a una tensión de 5V. Para realizar esto, el polo (+)

Más detalles

TEMA 2: Control combinacional. 1.- Introducción. Esquema:

TEMA 2: Control combinacional. 1.- Introducción. Esquema: Esquema: TEMA 2: Control combinacional TEMA 2: Control combinacional...1 1.- Introducción...1 1.1.-Diseño de circuitos combinacionales...2 2.- Circuitos combinacionales avanzados...2 2.1.- Codificadores...2

Más detalles

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa Decodificadores y Demultiplexores Pedro Fernández Ignacio de la Rosa Decodificadores El trabajo de un decodificador, es recibir como entradas códigos en binario (N bits) y activar una de las M salidas,

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

Unidad Didáctica Electrónica Digital 4º ESO

Unidad Didáctica Electrónica Digital 4º ESO Unidad Didáctica Electrónica Digital 4º ESO ÍNDICE 1. INTRODUCCIÓN 2. SISTEMAS DE NUMERACIÓN 3. PUERTAS LÓGICAS 4. FUNCIONES LÓGICAS 1.- Introducción Señal analógica. Señal digital Una señal analógica

Más detalles

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores

Más detalles

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER ENTREGA: 26/11/2010 1 INTRODUCCIÓN La tecnología es cada vez más sencilla de

Más detalles

Prácticas de electrónica básica para el área de Tecnología en Educación Secundaria. Curso para profesores.

Prácticas de electrónica básica para el área de Tecnología en Educación Secundaria. Curso para profesores. Prácticas de electrónica básica para el área de Tecnología en Educación Secundaria. Curso para profesores. CEP de Albacete. Ponente: Jorge Muñoz Rodenas febrero de 2007 1 ELECTRONICA BASICA PARA PROFESORES

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA DISEÑO DE SISTEMAS DIGITALES PROF.: FONSECA CHÁVEZ ELIZABETH TRABAJO MIMIPROYECTOS

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA DISEÑO DE SISTEMAS DIGITALES PROF.: FONSECA CHÁVEZ ELIZABETH TRABAJO MIMIPROYECTOS UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA DISEÑO DE SISTEMAS DIGITALES PROF.: FONSECA CHÁVEZ ELIZABETH TRABAJO MIMIPROYECTOS ALUMNOS: CARRERA RAMOS EDGAR DE LA ROSA SANABRIA VICTOR

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

PROGRAMA INSTRUCCIONAL CIRCUITOS DIGITALES

PROGRAMA INSTRUCCIONAL CIRCUITOS DIGITALES UNIVERSIDAD FERMÍN TORO VICE RECTORADO ACADÉMICO FACULTAD DE INGENIERÍA ESCUELA DE COMPUTACIÓN PROGRAMA INSTRUCCIONAL CIRCUITOS DIGITALES CÓDIGO ASIGNADO SEMESTRE U. C DENSIDAD HORARIA H.T H.P/H.L H.A

Más detalles

Examen 23 de Febrero de 2007

Examen 23 de Febrero de 2007 Instrucciones Examen 23 de Febrero de 2007 Indique su nombre completo y número de cédula en cada hoja. Numere todas las hojas e indique la cantidad total de hojas que entrega en la primera. Escriba las

Más detalles

Diseño de circuitos combinacionales

Diseño de circuitos combinacionales Diseño de circuitos combinacionales Mario Medina C. mariomedina@udec.cl Diseño de circuitos combinacionales Métodos de minimización vistos permiten obtener funciones de dos niveles Tópicos en diseño de

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

INDICE. XVII 0 Introducción 0.1. Historia de la computación

INDICE. XVII 0 Introducción 0.1. Historia de la computación INDICE Prefacio XVII 0 Introducción 0.1. Historia de la computación 1 0.1.1. Los inicios: computadoras mecánicas 0.1.2. Primeras computadoras electrónicas 0.1.3. Las primeras cuatro generaciones de computadoras

Más detalles

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción INDICE Prologo XIII Introducción XV 1. Introducción a la técnica digital 1.1. Introducción 1 1.2. Señales analógicas y digitales 1.2.1. Señales analógicas 1.2.2. Señales digitales 2 1.3. Procesos digitales

Más detalles

Circuitos lógicos combinacionales. Tema 6

Circuitos lógicos combinacionales. Tema 6 Circuitos lógicos combinacionales Tema 6 Qué sabrás al final del capítulo? Implementar funciones con dos niveles de puertas lógicas AND/OR OR/AND NAND NOR Analizar sistemas combinacionales, obteniendo

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos INDICE Parte I. La computadora digital: organización, operaciones, periféricos, lenguajes y sistemas operativos 1 Capitulo 1. La computadora digital 1.1. Introducción 3 1.2. Aplicaciones de las computadoras

Más detalles

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y XOR y Con las puertas básicas podemos implementar cualquier función booleana. Sin embargo existen

Más detalles

TALLER DE DISEÑO DE LÓGICA COMBINACIONAL Y SECUENCIAL ELECTRÓNICA DIGITAL Y MICROCONTROLADORES 2010-II

TALLER DE DISEÑO DE LÓGICA COMBINACIONAL Y SECUENCIAL ELECTRÓNICA DIGITAL Y MICROCONTROLADORES 2010-II TALLE DE DIEÑO DE LÓGICA COMBINACIONAL Y ECUENCIAL ELECTÓNICA DIGITAL Y MICOCONTOLADOE 00-II. Diseñar un restador completo de un bit.. Diseñar un restador de cuatro bits empleando cuatro restadores de

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Profesor: Carlos Herrera C. I. Unidad COMPUERTAS LOGICAS Las compuertas lógicas son dispositivos que operan con aquellos estados lógicos Binarios y que funcionan igual que

Más detalles

Diagnóstico de fallas en circuitos digitales

Diagnóstico de fallas en circuitos digitales Diagnóstico de fallas en circuitos digitales Circuito digital: Construido usando las siguientes compuertas. NOT: OR: AND: 1 Ejemplo: Sumador binario Un sumador binario recibe como entrada dos bits a y

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Circuitos Combinacionales MSI 1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Los sistemas combinacionales son aquellos en los que las salidas dependen exclusivamente de las entradas, luego para una

Más detalles

INSTRUCTIONS. Installation and operating guide. Installations- und Bedienungsanleitung. Guide d installation et d utilisation

INSTRUCTIONS. Installation and operating guide. Installations- und Bedienungsanleitung. Guide d installation et d utilisation GOBI INSTRUCTIONS Installation and operating guide Installations- und Bedienungsanleitung Guide d installation et d utilisation Istruzioni per l'installazione e l'uso Guía de instalación y funcionamiento

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

Diseño combinacional (Parte #2) Mapas de Karnaugh

Diseño combinacional (Parte #2) Mapas de Karnaugh Departamento de Electrónica Electrónica Digital Diseño combinacional (Parte #2) Mapas de Karnaugh Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Procedimiento de diseño de un circuito

Más detalles

Prácticas de Laboratorio de Hidráulica

Prácticas de Laboratorio de Hidráulica Universidad Politécnica de Madrid E.T.S. Ingenieros de Caminos, Canales y Puertos Prácticas de Laboratorio de Hidráulica Jaime García Palacios Francisco V. Laguna Peñuelas 2010 Índice general 3. Venturi

Más detalles

Tema 3. 2 Sistemas Combinacionales

Tema 3. 2 Sistemas Combinacionales Tema 3. 2 Sistemas Combinacionales Índice Circuitos combinacionales: concepto, análisis y síntesis. Métodos de simplificación de funciones lógicas. Estructuras combinacionales básicas Multiplexores Demultiplexores

Más detalles

Sistemas informáticos industriales. Algebra de Boole

Sistemas informáticos industriales. Algebra de Boole Sistemas informáticos industriales 2016 lgebra de oole lgebra oole Se denomina así en honor a George oole (1815-1864). El algebra de oole se emplea en sistema de control digitales, desde los sistemas de

Más detalles

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario. PUERTAS LOGICAS Son bloques de construcción básica de los sistemas digitales; operan con números binarios, por lo que se denominan puertas lógicas binarias. En los circuitos digitales todos los voltajes,

Más detalles

PROBLEMAS DE FUNDAMENTOS DE COMPUTADORES TEMA 2

PROBLEMAS DE FUNDAMENTOS DE COMPUTADORES TEMA 2 Facultad de Informática Universidad Complutense de Madrid PROBLEMAS DE FUNDAMENTOS DE COMPUTADORES TEMA 2 Problemas básicos: 1. Usando los mapas de Karnaugh, obtenga expresiones como mínima SDP de las

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez 4.3. Diseño de circuitos combinacionales

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos Secuenciales Circuitos secuenciales. Biestables. Registros. Contadores. Registros de desplazamiento

Más detalles

CONTROL ELÉCTRICO CONTROL DE UN RECEPTOR DESDE DOS PUNTOS CIRCUITO INVERSOR DEL GIRO DE UN MOTOR

CONTROL ELÉCTRICO CONTROL DE UN RECEPTOR DESDE DOS PUNTOS CIRCUITO INVERSOR DEL GIRO DE UN MOTOR Control Eléctrico. TPR 3º ESO. Dpto. Tecnología IES Palas Atenea CONTROL ELÉCTRICO 1.- DISPOSITIVOS DE CONTROL ELÉCTRICO 1.1.- INTERRUPTOR 1.2.- PULSADOR 2.- EJEMPLOS DE CIRCUITOS DE CONTROL 2.1.- CIRCUITO

Más detalles

GUIA DE CIRCUITOS LOGICOS COMBINATORIOS

GUIA DE CIRCUITOS LOGICOS COMBINATORIOS GUIA DE CIRCUITOS LOGICOS COMBINATORIOS 1. Defina Sistema Numérico. 2. Escriba la Ecuación General de un Sistema Numérico. 3. Explique Por qué se utilizan distintas numeraciones en la Electrónica Digital?

Más detalles

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo.

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo. 1 Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. El texto está orientado a un primer curso de diseño lógico en programas de estudios de ingenieros eléctricos, electrónicos, telemáticos y de ciencias

Más detalles

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó Lógica Digital Circuitos Secuenciales - Parte I Francisco García Eijó Organización del Computador I Departamento de Computación - FCEyN UBA 7 de Septiembre del 2010 Agenda 1 Repaso 2 Multimedia Logic 3

Más detalles

A l g o r i t m o s. Seguridad en Internet ALGORITMOS. www.upibi.net

A l g o r i t m o s. Seguridad en Internet ALGORITMOS. www.upibi.net ALGORITMOS Objetivos Introducir el concepto de algoritmo y sus características. Mostrar las diferentes técnicas para representar algoritmos. Introducir la programación estructurada y el diseño descendiente.

Más detalles

ÁLGEBRA BOOLEANA El álgebra booleana es un sistema matemático deductivo centrado en los valores cero y uno (falso y verdadero). Un operador binario "

ÁLGEBRA BOOLEANA El álgebra booleana es un sistema matemático deductivo centrado en los valores cero y uno (falso y verdadero). Un operador binario ÁLGEBRA BOOLEANA El álgebra booleana es un sistema matemático deductivo centrado en los valores cero y uno (falso y verdadero). Un operador binario " " definido en éste juego de valores acepta un par de

Más detalles

3. Transforma los siguientes cronogramas en tablas de verdad. (E=Entrada, S=Salida). a) b)

3. Transforma los siguientes cronogramas en tablas de verdad. (E=Entrada, S=Salida). a) b) EJERCICIOS ELECTRÓNICA DIGITAL 1. Transforma los siguientes números al sistema binario: a. 21 b. 112 c. 37 d. 529 e. 61 f. 214 g. 232 h. 28 2. Transforma los siguientes números binarios a decimales: a.

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

Proyecto de Electrónica. Contador digital de 0 a 9

Proyecto de Electrónica. Contador digital de 0 a 9 Proyecto de Electrónica Contador digital de 0 a 9 La finalidad del proyecto consiste en mostrar en un display un conteo de cero a nueve, donde la velocidad de conteo podrá ser regulada. Componentes a utilizar

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

Circuitos Electrónicos Digitales (CED-ISW)

Circuitos Electrónicos Digitales (CED-ISW) Circuitos Electrónicos Digitales (CED-ISW) Boletín 1- Códigos binarios y Álgebra de Conmutación Problema 1.- Indique cuántos bits son necesarios, como mínimo, para representar cada uno de los siguientes

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole

Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole Índice Algebra de Boole. Definición. Operaciones lógicas: OR, AND, XOR y NOT Puertas lógicas Algebra de Boole Postulados Teoremas

Más detalles