Bloques estandarizados

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Bloques estandarizados"

Transcripción

1 Bloques estandarizados Mario Medina C. Más allá de las puertas lógicas Complejidad creciente de diseños hace necesario buscar nuevos niveles de abstracción por sobre las compuertas discretas Funciones más complejas, sin aumentar el número de conexiones externas Alternativas de mayor escala de integración MSI (Medium-Scale Integration) LSI (Large-Scale Integration) de funciones booleanas Uso de bloques estandarizados Codificadores y decodificadores Multiplexores y demultiplexores Implementaciones ASIC Alternativas programables PLA/PAL Memorias ROM Bloques estandarizados Semi-sumador Sumador completo Sumador con propagación de acarreo Sumador con acarreo anticipado Comparador Codificador Decodificador Multiplexor Demultiplexor Circuito semi-sumador Circuito sumador completo A B C out Suma C in A B C out Suma 24 Mario Medina

2 Circuito sumador completo Circuito sumador completo Construido con dos semi-sumadores Sumadores en paralelo Sumador de 2 bits Sumador paralelo de 4 bits Sumador paralelo de 4 bits (74LS283) Retardos de propagación, 74LS Mario Medina 2

3 Sumador paralelo de 8 bits Sumador paralelo de 6 bits 4 sumadores paralelos de 4 bits en cascada Retardo de propagación aumenta linealmente Retardo de propagación, sumador de 4 bits Sumador de anticipación de acarreo Llamado también carry-lookahead adder Acarreo puede ser Generado: si entradas A y B a un sumador son C g = AB Propagado: si el acarreo de entrada C in se refleja en un acarreo de salida, lo que ocurre si al menos una de las entradas es y C in es Cp = A + B C out = C g + C p C in Acarreos generados y propagados generado propagado propagado propagado Sumador de anticipación de acarreo 24 Mario Medina 3

4 Circuito de anticipación de acarreo Circuito comparador de 4 bits 7485 Circuitos comparadores en cascada Codificadores Bloque de 2 n entradas y n salidas Se llama codificador 2 n -a-n o de n bits Transforma la única entrada activa a algún código (Binario, Gray, BCD, etc.) i si xi y E y (xk k i) z enotro caso Codificadores Sólo una entrada puede estar activa a la vez EntradaE esunaseñalde control, no de datos Habilita o deshabilita el bloque Deshabilitación deja todas las salidas en alto o bajo, dependiendo de la lógica definida para el bloque Codificador de prioridades Codifica la entrada de mayor prioridad que está activa Puede haber más de una entrada activa a la vez Necesario establecer prioridad entre las entradas Salida adicional indica si no existen entradas activadas 24 Mario Medina 4

5 Codificador de prioridades Salida abc codifica entrada activa de mayor prioridad Entrada y 7 tiene mayor prioridad que y Salida d indica que hay una entrada activa y y y2 y3 y4 y5 y6 y7 a b c d X X X X X X X X X X X X X X X X X X X X X X X X X X X X Codificador de prioridades 74LS48 8 entradas activas bajas 3 salidas activas bajas HPRI: entrada de más alto valor tiene prioridad EI: activación activa baja EO y GS: salidas activas bajas para conexión en cascada Codificador de prioridades 6- a-4 en cascada Decodificadores Bloque de n entradas y 2 n salidas Se llama decodificador n-a-2 n Función inversa a codificador Activa una de las salidas en función del valor de las entradas Demás salidas inactivas o complementadas Señales de salida mutuamente excluyentes z i si x i y E enotrocaso Implementación decodificador 2-a-4 Decodificador 3-a-8 Implementación usa 8 ANDs de 3 entradas 24 Mario Medina 5

6 Ejemplo: decodificador 4-a- para BCD 842 Ejemplo: decodificador 4-a- Decodificador para dígitos BCD 842 Salidas activas bajas Decodificador 4-a-6 74LS54 Decodificador 5-a-32 usando decodificadores 4-a-6 Salidas y activación activas baja con decodificadores Implementar funciones f y f 2 con decodificador 4-a-, salida activa baja f = m + m 2 + m 4 f 2 = m 4 + m 7 + m 9 Reescribiendo f = (m m 2 m 4 ) f 2 = (m 4 m 7 m 9 ) Multiplexores o selectores Bloque de 2 n entradas de datos, n entradas de control y señal de salida También se debe añadir la señal de habilitación E Si el bloque está habilitado, la señal de control s selecciona una de las entradas para ser Si E dirigida hacia la salida Y xc, c sss 2 24 Mario Medina 6

7 Operación de un multiplexor 2-a- Multiplexor 2-a- Escoge la entrada basada en señal de control Z = A *I + A*I Multiplexores 2 n -a- 2 n entradas n señales de control Multiplexor 8-a- Multiplexor de 8 entradas 74LS5 Entradas I a I 7 Señales de control abc Multiplexor 6-a- usando multiplexores 8-a- Multiplexor de datos Multiplexor cuádruple con entradas y salidas de bus de 4 bits 24 Mario Medina 7

8 Multiplexor de 2 entradas cuádruple 74LS57 Demultiplexores o distribuidores Función inversa al multiplexor Bloque de entrada, 2 n salidas de datos, n entradas de control Además de la señal de habilitación E Si el bloque está habilitado, la señal de entrada es enviada a la salida seleccionada mediante la señal de control s Las salidas son mutuamente excluyentes z i x si E si E e i c o i c Demultiplexores o distribuidores Notar la similitud entre las descripciones de un demultiplexor y un decodificador Usados adecuadamente sus operaciones son intercambiables De hecho, los fabricantes clasifican los demultiplexores como demultiplexers/decoders Demultiplexor 4-a-6 Decodificador 4-a puede ser usado también como demultiplexor Usar entradas como líneas de selección de datos Usar habilitación como entrada de datos Describiendo un multiplexor de 2 y 4 entradas mediante una tabla de verdad, podemos escribir sus funciones de transferencia como z A I A I z A B I A B I A B I 2 A B I 3 En forma general z n 2 - Esto corresponde a una expresión en minitérminos Representación en dos niveles (AND-OR) Expresión general de un multiplexor de n: indica que éstos pueden ser utilizados como generadores de minitérminos Más que un selector, es un bloque de propósito general k m I k k 24 Mario Medina 8

9 A B C F C Sistemas Digitales Multiplexor puede implementar una tabla de verdad en forma directa Ejemplo Ejemplo de implementación F(A 2, A, A ) m m3 m5 m6 F(A, B,C) m m2 m6 m7 Conexión a +5V equivale a lógico Cualquier función de n variables puede implementarse en forma directa con un MUX de 2 n -a- El número de entradas a un MUX suele ser limitado Comercialmente no se fabrican con muchas entradas Ejemplo: implementar la siguiente función con un MUX de 4-a- F(A, B, C) = A B C + A BC + AB F(A, B, C) = A B (C ) + A B(C ) + AB () + AB() Metodología general (particionar la función) Seleccionar n- variables como entradas de control Variable restante se usará como entrada Cada combinación de las n- variables define 2 celdas de la tabla de verdad Si la variable de entrada es constante en o, la entradaasociadaal MUX será o Si la variable de entrada cambia su valor, la entrada al MUX será la variable de entrada o su complemento Implementar con un MUX de 8: la función F(A, B, C, D) = m(,, 3, 6, 7, 8,, 2, 4) El método es general para más variables, pero las entradas serían función de las variables que no se definen como de control Requiere uso de bloques lógicos en las entradas 24 Mario Medina 9

10 Otro ejemplo F(A, B,C,D) m(,2,5,6,7,8,,2,3,5) Implementar un MUX de 8: con 2 MUX de 4: y un MUX de 2: 4 MUX de 2: y un MUX de 4: : demultiplexor Cualquier función de n variables puede implementarse con un demultiplexor de n entradas de control y puertas OR Cada combinación de entradas selectoras corresponde a un minitérmino de una función Todas las salidas que corresponden a un minitérmino se usan como entradas a un OR que calcula la función final con demultiplexor Implementar las siguientes funciones con un demultiplexor de 8 salidas F(C, B, A) = m(, 2, 3, 7) G(C, B, A) = A BC + AB C + ABC Circuitos integrados comerciales imponen limitantes a número de entradas disponibles Inclusión de entradas de control, como la de habilitación E, permite interconectar jerárquicamente distintos bloques para formar bloques más grandes Un MUX de 32-a- puede implementarse con 4 MUX de 8: y un decodificador de 2-a-4 Decodificador 5:32 puede implementarse con decodificador de 2:4 y 4 decodificadores de 3:8 Fan-Out y buffers Unasalidade compuertalógicasólose puede conectar a un número limitado de otras entradas Limitación de implementación Buffer No modifica el valor lógico de la entrada Mejora las características eléctricas de la salida Permite aumentar el fan-out 24 Mario Medina

11 Fan-Out y buffers Función buffer F = C Permite conectar más entradas a la salida Conectando salidas No se pueden conectar directamente dos salidas Buffers de 3 estados Buffer que aísla la entrada de la salida Si B es, C = A Si B es, A y C no están conectados 3er estado es alta impedancia (Z) Buffer de 3 estados Tipos de buffers de 3 estados Salidas activa alta/baja Control activo alto/bajo Circuitos con buffers de 3 estados Construyendo un multiplexor de 2-a- con buffers de 3 estados Nótese que salidas de buffers están conectadas directamente! Si B =, D = A Si B =, D = C D = AB + BC Construyendo circuitos con buffers de 3 estados Si BD =, F = Z (alta impedancia) Si BD =, F = C Si BD =, F = A Si BD =, Error! Conflicto entre las salidas Debe garantizarse que BD nunca será Cómo? Decodificador 24 Mario Medina

12 Buffers de 3 estados como alternativas a MUXes Sumador de 4 bits Sum = (EnA*A + EnB*B + EnC*C + EnD*D) + E Sólo una entrada de habilitación activa a la vez Líneas bidireccionales utilizando buffers de 3 estados Terminal bidireccional construido con buffer de Salida 3 estados Si buffer está activo, terminal corresponde a Entrada salida del circuito Si buffer está inactivo, terminal corresponde a entrada al circuito Enable Terminal Bus de datos bidireccional 24 Mario Medina 2

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

Sumador Ripple-Carry

Sumador Ripple-Carry Sumador Ripple-Carry Sumador de N bits conectando en cascada N circuitos sumadores completos (FA) conectando C o,k-1 a C i,k para k=1 a N- 1 y con C i,0 conectado a 0 Sumador Ripple-Carry El retardo del

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

Sistemas Digitales. Circuitos Codificadores

Sistemas Digitales. Circuitos Codificadores Sistemas Digitales Circuitos Codificadores Se definen como tal, a circuitos combinacionales que tienen 2 n entradas y n salidas, aunque en algunos casos prácticos, suelen tener menos entradas. A cada una

Más detalles

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

Tema 3. 2 Sistemas Combinacionales

Tema 3. 2 Sistemas Combinacionales Tema 3. 2 Sistemas Combinacionales Índice Circuitos combinacionales: concepto, análisis y síntesis. Métodos de simplificación de funciones lógicas. Estructuras combinacionales básicas Multiplexores Demultiplexores

Más detalles

Diseño de circuitos combinacionales

Diseño de circuitos combinacionales Diseño de circuitos combinacionales Mario Medina C. mariomedina@udec.cl Diseño de circuitos combinacionales Métodos de minimización vistos permiten obtener funciones de dos niveles Tópicos en diseño de

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción INDICE Prologo XIII Introducción XV 1. Introducción a la técnica digital 1.1. Introducción 1 1.2. Señales analógicas y digitales 1.2.1. Señales analógicas 1.2.2. Señales digitales 2 1.3. Procesos digitales

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

T6. CIRCUITOS ARITMÉTICOS

T6. CIRCUITOS ARITMÉTICOS T6. CIRCUITOS ARITMÉTICOS Circuitos Aritméticos Son dispositivos MSI que pueden realizar operaciones aritméticas (suma, resta, multiplicación y división) con números binarios. De todos los dispositivos,

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2012 Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario. PUERTAS LOGICAS Son bloques de construcción básica de los sistemas digitales; operan con números binarios, por lo que se denominan puertas lógicas binarias. En los circuitos digitales todos los voltajes,

Más detalles

38.1. Principios de electrónica digital. 38.1.1. Sistemas digitales y analógicos

38.1. Principios de electrónica digital. 38.1.1. Sistemas digitales y analógicos Tema 8. Principios de electrónica digital. Álgebra de Boole. Puertas lógicas. Funciones básicas combinacionales: decodificadores, codificadores, multiplexores y otras. Simbología, tipología, función y

Más detalles

Universidad Nacional de Quilmes

Universidad Nacional de Quilmes Universidad Nacional de Quilmes ASIGNATURA : TECNICAS DIGITALES CURSO :... CICLO LECTIVO : 2010 T. P. Nro. : II NOMBRE DEL T. P. : MBINACIONAL II: Decodificadores, Multiplexor, DeMultiplexor, Comparador,

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTICO- LÓGICA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ ARQUITETURAS DE OMUTADORES 2º URSO INGENIERÍA TÉNIA EN INFORMÁTIA DE GESTIÓN TEMA 3 UNIDAD ARITMÉTIO- LÓGIA JOSÉ GARÍA RODRÍGUEZ JOSÉ ANTONIO SERRA ÉREZ Tema 3. La Unidad entral de roceso. A.L.U. 1 La

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

FACULTAD DE INGENIERÍA

FACULTAD DE INGENIERÍA FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales M.I. Norma Elva Chávez Rodríguez OBJETIVO El alumno comprenderá la importancia de los sistemas digitales, por lo que al terminar la it introducción ió

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Circuitos Combinacionales MSI 1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Los sistemas combinacionales son aquellos en los que las salidas dependen exclusivamente de las entradas, luego para una

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

Álgebra Booleana y Simplificación Lógica

Álgebra Booleana y Simplificación Lógica Álgebra Booleana y Simplificación Lógica M. en C. Erika Vilches Parte 2 Simplificación utilizando Álgebra Booleana Simplificar la expresión AB + A(B + C) + B(B + C) 1. Aplicar la ley distributiva al segundo

Más detalles

Tema 4: Circuitos combinacionales

Tema 4: Circuitos combinacionales Estructura de computadores Tema 4: Circuitos combinacionales Tema 4: Circuitos combinacionales 4.0 Introducción Los circuitos lógicos digitales pueden ser de dos tipos: combinacionales secuenciales. Circuitos

Más detalles

Tabla de contenidos. 1 Lógica directa

Tabla de contenidos. 1 Lógica directa Tabla de contenidos 1 Lógica directa o 1.1 Puerta SI (YES) o 1.2 Puerta Y (AND) o 1.3 Puerta O (OR) o 1.4 Puerta OR-exclusiva (XOR) 2 Lógica negada o 2.1 Puerta NO (NOT) o 2.2 Puerta NO-Y (NAND) o 2.3

Más detalles

Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica

Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica Programa de la asignatura: IEM-920 ELECTRONICA DIGITAL Total de Créditos:

Más detalles

TEMA 2: Control combinacional. 1.- Introducción. Esquema:

TEMA 2: Control combinacional. 1.- Introducción. Esquema: Esquema: TEMA 2: Control combinacional TEMA 2: Control combinacional...1 1.- Introducción...1 1.1.-Diseño de circuitos combinacionales...2 2.- Circuitos combinacionales avanzados...2 2.1.- Codificadores...2

Más detalles

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador.

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. MAPEO DE MEMORIA La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. Estos dispositivos pueden ser memorias (ROM y/o RAM), buffer s,

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa Decodificadores y Demultiplexores Pedro Fernández Ignacio de la Rosa Decodificadores El trabajo de un decodificador, es recibir como entradas códigos en binario (N bits) y activar una de las M salidas,

Más detalles

Universidad de San Carlos de Guatemala Facultad de Ingeniería Escuela de Mecánica Eléctrica Laboratorio de Electrónica Electrónica 3

Universidad de San Carlos de Guatemala Facultad de Ingeniería Escuela de Mecánica Eléctrica Laboratorio de Electrónica Electrónica 3 Universidad de San Carlos de Guatemala Facultad de Ingeniería Escuela de Mecánica Eléctrica Laboratorio de Electrónica Electrónica 3 INDICE: Pg. Carátula 1 Introducción 2 Conocimientos Necesarios 2 1.0

Más detalles

SUMADORES Y COMPARADORES

SUMADORES Y COMPARADORES Universidad Nacional de Quilmes Diplomatura en Ciencia y Tecnología Circuito semisumador de un bit. TÉCNICAS DIGITALES Los circuitos sumadores entregan 2 datos: suma (S) y acarreo (A), y, este circuito

Más detalles

El número decimal 57, en formato binario es igual a:

El número decimal 57, en formato binario es igual a: CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. NÚMEROS BINARIOS EJEMPLO En el cuadro anterior, está la representación de los números binarios en formato

Más detalles

Tema 3: Sistemas Combinacionales

Tema 3: Sistemas Combinacionales Ejercicios T3: Sistemas Combinacionales Fundamentos de Tecnología de Computadores Tema 3: Sistemas Combinacionales 1. Analizar el siguiente circuito indicando la expresión algebraica que implementa, la

Más detalles

CIRCUITOS LOGICOS DE TRES ESTADOS.

CIRCUITOS LOGICOS DE TRES ESTADOS. Página 1 CIRCUITOS LOGICOS DE TRES ESTADOS. Las señales lógicas se componen de dos estados normales, Alto y Bajo (1 o 0). Sin embargo, algunas salidas tienen un tercer estado eléctrico que no es un estado

Más detalles

Álgebra de Boole. Valparaíso, 1 er Semestre Prof. Rodrigo Araya E.

Álgebra de Boole. Valparaíso, 1 er Semestre Prof. Rodrigo Araya E. Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 1 2 3 4 Contenido En 1815 George Boole propuso una herramienta

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y XOR y Con las puertas básicas podemos implementar cualquier función booleana. Sin embargo existen

Más detalles

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O Interfaz con Dispositivos I/O Interfaz con Dispositivos de Salida y Salida Unidad 4, Segunda Parte Port Mapped Memory mapped 1 2 Ejecución de la Instrucción OUT Ejecución de la instrucción OUT Dirección

Más detalles

Registros y Contadores

Registros y Contadores Registros y Contadores Mario Medina C. mariomedina@udec.cl Registros Grupos de flip-flops con reloj común Almacenamiento de datos Desplazamiento de datos Construcción de contadores simples Como cada FF

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE LOS COMPUTADORES I. TEMA 4 Algebra booleana y puertas lógicas

ESTRUCTURA Y TECNOLOGÍA DE LOS COMPUTADORES I. TEMA 4 Algebra booleana y puertas lógicas ESTRUCTURA Y TECNOLOGÍA DE LOS COMPUTADORES I TEMA 4 Algebra booleana y puertas lógicas TEMA 4. Algebra booleana y puertas lógicas 4.1 Definición de álgebra de Boole 4.2 Teoremas del álgebra de Boole 4.3

Más detalles

DISEÑO DE CIRCUITOS LOGICOS COMBINATORIOS

DISEÑO DE CIRCUITOS LOGICOS COMBINATORIOS DISEÑO DE CIRCUITOS LOGICOS COMBINATORIOS Circuitos Combinacionales Un circuito combinacional es un circuito digital cuyas salidas, en un instante determinado son función, exclusivamente, de la combinación

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

Temario TEMARIO. Sist. Electrónicos Digitales 1

Temario TEMARIO. Sist. Electrónicos Digitales 1 TEMARIO 1 TEMA 1. Introducción a los Sistemas Digitales. 1.1. Concepto de Sistema. Estructura y Comportamiento Señal analógica y señal digita Señal binarial 1.2. Sistemas de numeración. Binario Octal Hexadecimal

Más detalles

Laboratorio 1 Implementación de un sumador binario

Laboratorio 1 Implementación de un sumador binario Universidad de chile Laboratorio 1 Implementación de un sumador binario Autor: Sergio Liberman Bronfman Thomas Peet Moraga Curso: EL4002 Profesores: Francisco Rivera Profesor auxiliar: Andrés Sanhueza

Más detalles

CIRCUITOS COMBINACIONALES

CIRCUITOS COMBINACIONALES Escuela Universitaria de Ingeniería Técnica Industrial de Bilbao Universidad del País Vasco / Euskal Herriko Unibertsitatea ELECTRONICA INDUSTRIAL CIRCUITOS COMBINACIONALES SANCHEZ MORONTA, M - UGALDE

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas FUNDAMENTOS DE SISTEMAS DIGITALES Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas 1 Programa 1. Representación conjunta de números positivos y negativos. 2. Sumadores y restadores. 3. Sumadores

Más detalles

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos INDICE Prólogo XI 1. Operación del Computador 1 1.1. Calculadoras y Computadores 2 1.2. Computadores digitales electrónicos 5 1.3. Aplicación de los computadores a la solución de problemas 7 1.4. Aplicaciones

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Circuitos lógicos combinacionales. Tema 6

Circuitos lógicos combinacionales. Tema 6 Circuitos lógicos combinacionales Tema 6 Qué sabrás al final del capítulo? Implementar funciones con dos niveles de puertas lógicas AND/OR OR/AND NAND NOR Analizar sistemas combinacionales, obteniendo

Más detalles

UNIDAD 4. Álgebra Booleana

UNIDAD 4. Álgebra Booleana UNIDAD 4 Álgebra Booleana ÁLGEBRA BOOLEANA El Álgebra Booleana se define como una retícula: Complementada: existe un elemento mínimo 0 y un elemento máximo I de tal forma que si a esta en la retícula,

Más detalles

-5.2 SUMADOR CON MULTIPLES SUMANDOS.

-5.2 SUMADOR CON MULTIPLES SUMANDOS. -5.2 SUMADOR CON MULTIPLES SUMANDOS. Sumador con acarreo almacenado. Este sumador también llamado Carry Save Adder (CSA) nos permitirá realizar la suma de N sumandos en un tiempo mínimo. Para estudiar

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

Introducción al álgebra de Boole. Operaciones lógicas básicas. Propiedades del álgebra de Boole. a b a+b

Introducción al álgebra de Boole. Operaciones lógicas básicas. Propiedades del álgebra de Boole. a b a+b Introducción al álgebra de Boole Muchos componentes utilizados en sistemas de control, como contactores y relés, presentan dos estados claramente diferenciados (abierto o cerrado, conduce o no conduce).

Más detalles

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI Guía de preparación para el examen ELECTRONICA CxTx En esta materia básicamente se evalúan temas tales como son: MULTIVIBRADORES, MEMORIAS, CONTADORES Y COMPUERTAS LOGICAS, SUMADOR RESTADOR Y MICROPOCESADORES

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes. Materia: Laboratorio de Electrónica Digital I

Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes. Materia: Laboratorio de Electrónica Digital I Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes Materia: Laboratorio de Electrónica Digital I Práctica Número 5 Características eléctricas de la familia TTL Objetivo:

Más detalles

CIRCUITOS DIGITALES -

CIRCUITOS DIGITALES - CIRCUITOS DIGITALES - INTRODUCCIÓN CIRCUITOS DIGITALES CIRCUITOS DIGITALES SON LOS QUE COMUNICAN Y PROCESAN INFORMACIÓN DIGITAL SEÑAL DIGITAL: SOLO PUEDE TOMAR UN NÚMERO FINITO DE VALORES. EN BINARIO:

Más detalles

Definición y representación de los

Definición y representación de los Definición y representación de los circuitos lógicos. LÁMARA R + - + - OBJETIVO GENERAL BATERÍA Utilizar el álgebra booleana para analizar y describir el funcionamiento de las combinaciones de las compuertas

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración Objetivo: Familiarizarse

Más detalles

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos INDICE Parte I. La computadora digital: organización, operaciones, periféricos, lenguajes y sistemas operativos 1 Capitulo 1. La computadora digital 1.1. Introducción 3 1.2. Aplicaciones de las computadoras

Más detalles

Notas de Diseño Digital

Notas de Diseño Digital Notas de Diseño Digital Introducción El objetivo de estas notas es el de agilizar las clases, incluyendo definiciones, gráficos, tablas y otros elementos que tardan en ser escritos en el pizarrón, permitiendo

Más detalles

Ejercicios. Arquitectura de Computadoras. José Garzía

Ejercicios. Arquitectura de Computadoras. José Garzía jercicios de rquitectura de Computadoras José Garzía n la figura se representa el diagrama de flujo de un algoritmo. B X Y +B í + 7=? No B B+ C +B Los registros, B y C tienen una longitud de 8 bits. 7

Más detalles

TEMA 6. Circuitos Aritméticos.

TEMA 6. Circuitos Aritméticos. Fundamentos de los Computadores. Circuitos Aritméticos T6- TEMA 6. Circuitos Aritméticos. INDICE: OPERACIONES EN EL SISTEMA BINARIO CIRCUITOS SUMADORES CIRCUITOS RESTADORES UNIDADES LÓGICO ARITMÉTICAS

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2009 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

TEMA 7. Registros y contadores

TEMA 7. Registros y contadores TEMA 7. Registros y contadores 1. Registros 1.1. Definición. Sistemas secuenciales síncronos formados por agrupaciones de biestables. Capacidad de almacenamiento simultaneo de varios bits. Capacidad de

Más detalles

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma.

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma. KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS Luces secuenciales con efecto de scanner o simulador de alarma. Tabla de Contenido DEFINICIÓN FUNCIONAMIENTO LISTA DE PARTES ENSAMBLE REFERENCIAS DEFINICIÓN

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR Cód. 25243 Laboratorio electrónico Nº 5 PUERTAS LOGICAS Objetivo Aplicar los conocimientos de puertas lógicas Familiarizarse con los circuitos integrados Objetivo específico Conectar los circuitos integrados

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Tema 3. Electrónica Digital

Tema 3. Electrónica Digital Tema 3. Electrónica Digital 1.1. Definiciones Electrónica Digital La Electrónica Digital es la parte de la Electrónica que estudia los sistemas en los que en cada parte del circuito sólo puede haber dos

Más detalles

Bloques Aritméticos - Multiplicadores

Bloques Aritméticos - Multiplicadores Bloques Aritméticos - Multiplicadores La multiplicación es una operación cara (en términos de recursos) y lenta Este hecho ha motivado la integración de unidades completas de multiplicación en los DSPs

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8

PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8 PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8 NOMBRE DE LA PRACTICA: Sumador y Restador. COMPETENCIA DE LA PRÁCTICA: El alumno comprobará el funcionamiento del diseño de un semisumador, un sumador completo,

Más detalles

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Electrónica Básica Aritmética Binaria Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC ARITMÉTICA BINARIA Operaciones en el sistema Binario Natural Suma Binaria

Más detalles

INGENIERIA ELECTRÓNICA

INGENIERIA ELECTRÓNICA COM PUT DORES INGENIERI ELECTRÓNIC SIGNTUR: ELECTRÓNIC DIGITL I Profesor: LUIS CRLOS LSPRILL TOVR Facultad de Ingeniería Electrónica CIRCUITOS INTEGRDOS TRNSISTORES DIODOS FLYCKS Laboratorio * Ingenieria

Más detalles

FUNCIONES ARITMÉTICAS Y

FUNCIONES ARITMÉTICAS Y Tema 3 FUNCIONES ARITMÉTICAS Y LÓGICAS 3.. INTRODUCCIÓN Hasta ahora hemos visto como se podían minimizar funciones booleanas, y como se podían implementar a partir de puertas discretas. En los temas siguientes

Más detalles

Existen diferentes compuertas lógicas y aquí mencionaremos las básicas pero a la vez quizá las más usadas:

Existen diferentes compuertas lógicas y aquí mencionaremos las básicas pero a la vez quizá las más usadas: Compuertas lógicas Las compuertas lógicas son dispositivos electrónicos utilizados para realizar lógica de conmutación. Son el equivalente a interruptores eléctricos o electromagnéticos. para utilizar

Más detalles

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada ABEL Para Síntesis Dr. Juan C. Herrera Lozada jlozada@ipn.mx Caso de Estudio 1: Diseño Combinacional ABEL permite la descripción de circuitos mediante tablas de verdad, ecuaciones y diagramas de estado.

Más detalles