Laboratorio 5: Semáforo de dos Vías

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Laboratorio 5: Semáforo de dos Vías"

Transcripción

1 Laboratorio 5: Semáforo de dos Vías Objetivo. Aplicar los conocimientos teóricos adquiridos en clases sobre máquinas de estados secuenciales mediante la implementación práctica de un semáforo de cuatro vías. Introducción En la siguiente práctica de laboratorio se implementará un semáforo de dos vías, una calle irá de norte a sur y la otra de este a oeste, el semáforo cambiará de estado después de un tiempo determinado, para simular las luces del semáforo utilizaremos los LEDs ld[7:2] en la tarjeta de desarrollo BASYS2. Trabajo Previo A partir de la tabla de estados del semáforo que se muestra a continuación realizar el diagrama de estados para controlar el semáforo. Estados Norte-Sur Este-Oeste Retardo 0 Verde Rojo 5 1 Amarillo Rojo 1 2 Rojo Rojo 1 3 Rojo Verde 5 4 Rojo Amarillo 1 5 Rojo Rojo 1 Procedimiento. 1. Crear un nuevo proyecto en ISE Project Navigator. 2. Crear un nuevo archivo fuente para el Módulo traffic. 3. Agregar una copia del archivo fuente del Módulo clkdiv. 4. Crear un nuevo archivo fuente para el Módulo clkdiv 5. Asigna a la salida una frecuencia más lenta que el reloj propio (mclk = 50 MHz) de la tarjeta. En este módulo designamos 3 salidas de 190 Hz, 47.7 Hz y 3 Hz, que se conexionan con el módulo principal. //Divisor de Reloj module clkdiv( input wire mclk, input wire clr, output wire clk190, output wire clk48, output wire clk3 reg [24:0] q; //25-bit counter (posedge mclk or posedge clr) begin 1

2 if(clr == 1) q <= 0; else q <= q + 1; assign clk190 = q [17]; //190 Hz assign clk48 = q [19]; //47.7 Hz assign clk3 = q [24]; //3 Hz module 6. Módulo traffic_light_top. 7. Agregar el archivo.ucf Universal.ucf 8. Generar el archivo de programación *.bit. 9. Presentar su circuito funcionando al docente encargado del laboratorio con sus conclusiones. Módulo traffic Para manejar los estados en los que se mantrá el semáforo utilizaremos un reloj de 3Hz que obtremos a partir del Módulo clkdiv a como muestra la Fig. 1, por lo tanto si deseamos realizar un retardo de 5 segundos debemos realizar un conteo de 15 ciclos y si deseamos realizar un retardo de 1 segundo debemos realizar un conteo de 3 ciclos. Ya que necesitamos un contador para generar el retardo resulta muy conveniente combinar los registros de estado y los módulos combinacionales en un sólo bloque always como se muestra a continuación. module traffic( input clk, input clr, output reg [5:0] lights reg [2:0] state; reg [3:0] count; parameter SEC5=4'b1111,SEC1=4'b0011; clk or posedge clr) begin if(clr) begin state<=0; else case(state) 0: if(count<sec5)begin state<=0; 2

3 state<=1; 1: if(count<sec1)begin state<=1; state<=2; 2: if(count<sec1)begin state<=2; state<=3; 3: if(count<sec5)begin state<=3; state<=4; 4: if(count<sec1)begin state<=4; state<=5; 5: if(count<sec1)begin state<=5; state<=0; default: state<=0; case parameter rojo=3'b100,amarillo=3'b010,verde=3'b001; 3

4 module case(state) 0:lights={verde,rojo}; 1:lights={amarillo,rojo}; 2:lights={rojo,rojo}; 3:lights={rojo,verde}; 4:lights={rojo,amarillo}; 5:lights={rojo,rojo}; default: lights={verde,rojo}; case Módulo clkdiv Asigna a la salida una frecuencia más lenta que el reloj propio (mclk = 50 MHz) de la tarjeta. En este módulo designamos 3 salidas de 190 Hz, 47.7 Hz y 3 Hz, que se conexionan con el módulo principal. //Divisor de Reloj module clkdiv( input wire mclk, input wire clr, output wire clk190, output wire clk48, output wire clk3 reg [24:0] q; //25-bit counter (posedge mclk or posedge clr) begin if(clr == 1) q <= 0; else q <= q + 1; assign clk190 = q [17]; //190 Hz assign clk48 = q [19]; //47.7 Hz assign clk3 = q [24]; //3 Hz module Módulo traffic_light_top Este es el módulo superior y se encarga de realizar las interconexiones entre el Módulo traffic, el Módulo clkdiv, el reloj mclk, los leds ld[7:2] y el botón btn[3] como muestra la Fig. 1. 4

5 Fig. 1 A continuación se muestra el código necesario para realizar este módulo. module traffic_lights_top( input mclk, input [3:3] btn, output wire [7:2]ld module wire clk3,clr; assign clr=btn[3]; clkdiv U1 (.mclk(mclk),.clr(clr),.clk190(clk190),.clk3(clk3) traffic U2 (.clk(clk3),.clr(clr),.lights(ld) Universal.ucf NET "mclk" LOC = "B8" ; NET "btn[3]" LOC = " A7 " ; #NET "btn[2]" LOC = " M4 " ; #NET "btn[1]" LOC = " C11 " ; #NET "btn[0]" LOC = " G12 " ; 5

6 NET "ld[7]" LOC = " G1 " ; NET "ld[6]" LOC = " P4 " ; NET "ld[5]" LOC = " N4 " ; NET "ld[4]" LOC = " N5 " ; NET "ld[3]" LOC = " P6 " ; NET "ld[2]" LOC = " P7 " ; #NET "ld[1]" LOC = " M11 " ; #NET "ld[0]" LOC = " M5 " ; //rojo1 //amarillo1 //verde1 //rojo2 //amarillo2 //verde2 6

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1 SISTEMAS DIGITALES MÉTODOS DE DISEÑO Revisión de la metodología de diseño de sistemas digitales síncronos. Métodos de implementación de unidades de control:

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Enunciados de Prácticas de Laboratorio Estructura de Computadores Nota: Los archivos

Más detalles

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO Depuración de señales CIRCUITOS SISO Circuito SISO Circuito SIPO Circuito PISO DEPURACIÓN DE SEÑALES Cuando tenemos muchas señales (o cables) es difícil depurar el comportamiento del sistema simplemente

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos generales

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Antecedentes: Memorias como ROM etc. ANEXO: Formulario. Resumen de circuitos combinacionales y secuenciales TIP: Códigos para copiar rápido en Word,

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Aprender el uso de dispositivos controlados por una FPGA en una tarjeta de desarrollo. Conocer la interfaz PS/2, el protocolo de comunicación y

Más detalles

7.5. Registros, contadores y registros de desplazamiento

7.5. Registros, contadores y registros de desplazamiento 7.5. Registros, contadores y registros de desplazamiento [ Wakerly 8.4 pág. 693] 7.5.1.1.Contadores síncronos y asíncronos 7.5.1.2.Señales síncronas de control 7.5.1.3.Divisores de frecuencia 7.5.2. Registros

Más detalles

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática Paulino Ruiz de Clavijo Vázquez

Más detalles

Latches, flipflops y registros

Latches, flipflops y registros Departamento de Electrónica Electrónica Digital Latches, flipflops y registros Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Circuitos secuenciales 1 Circuitos secuenciales Salida

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

CIRCUITOS COMBINACIONALES CON isplever

CIRCUITOS COMBINACIONALES CON isplever CIRCUITOS COMBINACIONALES CON isplever En el siguiente tutorial se describe el procedimiento para crear un diseño digital usando esquemático con el software isplever. Se explicará como implementar la ecuación

Más detalles

TEMA 7. Registros y contadores

TEMA 7. Registros y contadores TEMA 7. Registros y contadores 1. Registros 1.1. Definición. Sistemas secuenciales síncronos formados por agrupaciones de biestables. Capacidad de almacenamiento simultaneo de varios bits. Capacidad de

Más detalles

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn PicoBlaze(3) Interfaces de Entrada/Salida Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn Temario Esta clase contiene: Interface de entrada/salida en PicoBlaze Ejemplo 1: a 2 +b

Más detalles

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 Objetivo: Diseño e implementación de un cronómetro digital usando la herramienta de diseño Xilinx Foundation. El diseño se realizará con captura de

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

PLC MEMORIA, DIRECCIONES Y SIMBOLOGÍA AUTOR:PROF. MELISSA DIAZ

PLC MEMORIA, DIRECCIONES Y SIMBOLOGÍA AUTOR:PROF. MELISSA DIAZ PLC MEMORIA, DIRECCIONES Y SIMBOLOGÍA AUTOR:PROF. MELISSA DIAZ MEMORIAS Allen-Bradley divide la memoria del PLC en archivos. Existen dos tipos de archivos: archivos de programas y archivos de data. Cualquiera

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

MAYA 50. Es una tarjeta electrónica diseñada para controlar y realizar prácticas de microcontroladores basada en el MCU PIC18F4550.

MAYA 50. Es una tarjeta electrónica diseñada para controlar y realizar prácticas de microcontroladores basada en el MCU PIC18F4550. MAYA 50 Es una tarjeta electrónica diseñada para controlar y realizar prácticas de microcontroladores basada en el MCU PIC18F4550. 1. Características Maya 50, está basada en el microcontrolador de microchip

Más detalles

Electrónica Digital II. M. C. Felipe Santiago Espinosa

Electrónica Digital II. M. C. Felipe Santiago Espinosa Electrónica Digital II M. C. Felipe Santiago Espinosa Octubre de 2014 WinCUPL Software desarrollado por Atmel Corporation. CUPL: Compilador universal para lógica programable (genera archivos para programar

Más detalles

PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales.

PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales. Montaje y evaluación de sistemas secuenciales. 1.- Objetivos: El objetivo de este módulo es familiarizar al alumno con los sistemas secuenciales partiendo del más sencillo (un biestable) para llegar al

Más detalles

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC PROGRAMACIÓN DE PLC Procedimiento para programar y cargar: Determinar los requisitos del sistema al cual se aplica el PLC. Identificar los

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

Universidad Nacional Autónoma de México

Universidad Nacional Autónoma de México Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Alumno: Fernando Iván Silva Jiménez Profesora: M.I. Norma Elva ChávezRodríguez Laboratorio de Diseño de Sistemas

Más detalles

PRÁCTICA 4. CONTADORES

PRÁCTICA 4. CONTADORES PRÁCTICA 4. CONTADORES 1. Objetivo En esta práctica se va a estudiar el funcionamiento de los circuitos secuenciales, tomando como ejemplo varios contadores. 2. Material necesario La práctica se realizará

Más detalles

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales : Diseño de un Divisor de Frecuencia Alumno: Jesús Alfredo Hernández Alarcón Profesor: M.I. Norma Elva Chávez

Más detalles

Registros. Buses. Memorias.

Registros. Buses. Memorias. Capítulo 5 egistros. Buses. Memorias. 5.. egistros. Se denomina registro a una serie de flip-flops agrupados y con señales de control común. Se considera que se leerán o escribirán como una unidad. Se

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos Secuenciales Circuitos secuenciales. Biestables. Registros. Contadores. Registros de desplazamiento

Más detalles

Práctica Sistemas Digitales Alarma domótica

Práctica Sistemas Digitales Alarma domótica Práctica Sistemas Digitales Alarma domótica David Guerra Martín Roman Valls Guimerà Daniel Clemente Laboreo SDMI 22 E Abril 2005 Índice Planteamiento del problema...3 Diagrama de bloques......3 Elementos

Más detalles

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó Lógica Digital Circuitos Secuenciales - Parte I Francisco García Eijó Organización del Computador I Departamento de Computación - FCEyN UBA 7 de Septiembre del 2010 Agenda 1 Repaso 2 Multimedia Logic 3

Más detalles

FACULTAD DE INGENIERÍA

FACULTAD DE INGENIERÍA NOMBRE DEL PROFESOR: Ing. Héctor Manuel Quej Cosgaya NOMBRE DE LA PRÁCTICA: Estructuras de decisión PRÁCTICA NÚM. [ 5 ] LABORATORIO: MATERIA: UNIDAD: TIEMPO: Centro de Ingeniería Computacional Lenguaje

Más detalles

Diseño de máquinas de estado

Diseño de máquinas de estado DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de máquinas de estado Sistemas Digitales Avanzados 1. Introducción y objetivos Las máquinas de estado finitas

Más detalles

GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GENERADOR DE NÚMEROS PRIMOS. OBJETIVO Un circuito

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS DETECTOR DE MONEDAS Introducción La presente práctica de

Más detalles

LUCES SECUENCIALES REVERSIBLES DE 6 LED. Simula que tienes un scanner o una alarma en tu vehículo

LUCES SECUENCIALES REVERSIBLES DE 6 LED. Simula que tienes un scanner o una alarma en tu vehículo LUCES SECUENCIALES REVERSIBLES DE 6 LED Simula que tienes un scanner o una alarma en tu vehículo Tabla de Contenido DEFINICIÓN FUNCIONAMIENTO LISTA DE PARTES ENSAMBLE DEFINICIÓN 4017 El 4017b es un circuito

Más detalles

Sistemas Secuenciales

Sistemas Secuenciales Electrónica Básica Sistemas Secuenciales Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC CIRCUITOS SECUENCIALES Combinacional: las salidas dependen de las

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Pasos para conectar la CPLD al cable JTAG USB

Pasos para conectar la CPLD al cable JTAG USB Pasos para conectar la CPLD al cable JTAG USB 1) El pin VIO, pin 5V van conectados a 5V(No energice aun la CPLD) 2) En el Pin VIO se recomienda poner una resistencia en serie de 330ohms desde 5v al Pin

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

Uso de DCMs y simulación con tiempos

Uso de DCMs y simulación con tiempos Práctica Especial EDCD 1 Uso de DCMs y simulación con tiempos Objetivos Realizar un ejemplo sencillo de uso de un DCM (Digital Clock Manager), bloque de hardware dedicado en las FPGAs de Xilinx, usado

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN - 2008 PRÁCTICAS DE ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES Página 2 INTRODUCCIÓN En el

Más detalles

Discrete Event Systems ( ENMF 619.20 )

Discrete Event Systems ( ENMF 619.20 ) Implementing Finite State Machines (e.g., supervisory controllers) into PLC Ladder Logic code Discrete Event Systems ( ENMF 619.20 ) Allen-Bradley PLC-5 Instructor: Dr. Alejandro Ramirez-Serrano Office:

Más detalles

Práctica 4. Sistemas Digitales. Objetivos particulares

Práctica 4. Sistemas Digitales. Objetivos particulares Práctica 4 Sistemas Digitales Objetivos particulares Durante el desarrollo de esta práctica, el estudiante aplicará un método para obtener las diferentes representaciones de los sistemas digitales binarios,

Más detalles

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Universal Asynchronous receiver and transmitter: dispositivo (controlador ) que envía datos paralelos sobre una línea serie.

Más detalles

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos UNIVERSIDAD DE VALLADOLID Departamento de Electricidad y Electrónica Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos. - Se desea disponer de un contador asíncrono de

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores Electrónica Tema 6 Circuitos Secuenciales Tema 1 Fundamentos de semiconductores 1 Lógica secuencial Un circuito secuencial es aquel cuyas salidas dependen no sólo de las entradas actuales, sino también

Más detalles

Registros y contadores

Registros y contadores Universidad Rey Juan Carlos Registros y contadores Norberto Malpica norberto.malpica@urjc.es Ingeniería de Tecnologías Industriales Registros y contadores 1 Esquema 1. Concepto de registro. 2. Registros

Más detalles

Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo

Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo 1 Electrónica Digital 2 Electrónica Digital 3 Electrónica

Más detalles

GUÍA DE REALIZACIÓN DE LAS PRÁCTICAS

GUÍA DE REALIZACIÓN DE LAS PRÁCTICAS GUÍA DE REALIZACIÓN DE LAS PRÁCTICAS UTILIZANDO LA PLACA DE2 DE ALTERA Sistemas Digitales Programables Ricardo J. Colom Palero, Rafael Gadea Girones y Vicente Herrero Bosch Universitat Politècnica de València

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

COMBINAR CORRESPONDENCIA

COMBINAR CORRESPONDENCIA COMBINAR CORRESPONDENCIA Microsoft Office Word nos provee una herramienta muy útil que nos permite incluir en un documento, datos que se encuentran almacenados en otro lugar. De esta forma logramos obtener

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

EIE SISTEMAS DIGITALES Tema 8: Circuitos Secuenciales (Síntesis) Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 8: Circuitos Secuenciales (Síntesis) Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 8: Circuitos Secuenciales (Síntesis) Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Entender el concepto de Máquina de estados

Más detalles

Guía para realizar el primer diseño con el Max+Plus II

Guía para realizar el primer diseño con el Max+Plus II Guía para realizar el primer diseño con el Max+Plus II Introducción A través de esta guía aprenderás como hacer un diseño utilizando el programa Max+plus II y la placa DL-LAB del curso de Diseño Lógico

Más detalles

PARTE I: Diseño lógico usando Xilinx ISE

PARTE I: Diseño lógico usando Xilinx ISE Cuaderno de prácticas Laboratorio de Fundamentos de Computadores PARTE I: Diseño lógico usando Xilinx ISE AUTORES: David Atienza Alonso, Hortensia Mecha López, Inmaculada Pardines Lence, Silvia Del Pino

Más detalles

Los Timers en en los PLC s

Los Timers en en los PLC s Los Timers en en los PLC s Los Timers Los timers son dispositivos que cuentan incrementos de tiempo. Son usados, por ejemplo, con los semáforos para controlar el lapso de tiempo entre cambios de señales.

Más detalles

Pontifica Universidad Católica de Chile Departamento de Ingeniería Eléctrica

Pontifica Universidad Católica de Chile Departamento de Ingeniería Eléctrica Pontifica Universidad Católica de Chile Departamento de Ingeniería Eléctrica IEE 2682 LABORATORIO DE CONTROL AUTOMÁTICO EXPERIENCIA CONTROLADORES LOGICOS PROGRAMABLES 1. OBJETIVOS Los Controladores Lógicos

Más detalles

TRABAJO DE FINAL DE CARRERA

TRABAJO DE FINAL DE CARRERA TRABAJO DE FINAL DE CARRERA TÍTULO DEL TFC: TITULACIÓN: AUTOR: DIRECTOR: Introducción al diseño de sistemas digitales reconfigurables en FPGA con VHDL y la tarjeta Spartan-3AN de Xilinx Ingeniería Técnica

Más detalles

Tema: Herramientas UML, Análisis y diseño UML

Tema: Herramientas UML, Análisis y diseño UML Programación II. Guía No.3 1 Facultad: Ingeniería Escuela: Computación Asignatura: Programación II Tema: Herramientas UML, Análisis y diseño UML Objetivos Conocer una herramienta de modelado para la solución

Más detalles

Índice. Sistemas Electronicos Digitales 2 o Curso Ingeniería Técnica Industrial. Logica Modular Secuencial. Clasificación Circuitos Digitales

Índice. Sistemas Electronicos Digitales 2 o Curso Ingeniería Técnica Industrial. Logica Modular Secuencial. Clasificación Circuitos Digitales Sistemas Electronicos igitales 2 o Curso Ingeniería écnica Industrial Logica Modular Secuencial José Luis Rosselló Sanz Grupo de ecnología Electrónica Universitat de les Illes Balears Introducción Registros

Más detalles

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna 1 Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna Adaptaciones por Jhon Esteban Valencia y Mónica Vallejo para el curso de Electrónica

Más detalles

GUIA DE COMPONENTE PRACTICO

GUIA DE COMPONENTE PRACTICO GUIA DE COMPONENTE PRACTICO Con el propósito de fomentar el desarrollo de habilidades en el diseño e implementación física de circuitos digitales, se ha diseñado un componente práctico que será desarrollado

Más detalles

Tema: Herramientas UML, Análisis y diseño UML

Tema: Herramientas UML, Análisis y diseño UML Programación II. Guía 2 1 Facultad: Ingeniería Escuela: Computación Asignatura: Programación II Tema: Herramientas UML, Análisis y diseño UML Objetivo Conocer una herramienta de modelado para la solución

Más detalles

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA UTFSM Noviembre 2003 APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA 1. INTRODUCCIÓN En este documento se encuentra toda la información

Más detalles

INFORMACIÓN TÉCNICA SEMAFOROS TIPO LED

INFORMACIÓN TÉCNICA SEMAFOROS TIPO LED INFORMACIÓN TÉCNICA SEMAFOROS TIPO LED UPSISTEL DEL CAFE es una empresa que fabrica, importa y comercializa equipos electrónicos en las áreas de ENERGIA, SISTEMAS, TELECOMUNICACIONES, PUBLICIDAD EQUIPOS

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez 4.3. Diseño de circuitos combinacionales

Más detalles

: Algorítmica y Estructura de Datos I

: Algorítmica y Estructura de Datos I FACULTAD POLITÉCNICA DIRECCIÓN ACADÉMICA PROGRAMA DE ESTUDIO I. IDENTIFICACIÓN Carrera : Ingeniería de Sistemas CARGA HORARIA (Horas reloj) Asignatura : Algorítmica y Estructura de Datos I Carga Horaria

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

Registros y Contadores

Registros y Contadores Registros y Contadores Mario Medina C. mariomedina@udec.cl Registros Grupos de flip-flops con reloj común Almacenamiento de datos Desplazamiento de datos Construcción de contadores simples Como cada FF

Más detalles

Guia para examen de Sistemas Embebidos I Para primer parcial Febrero 2013 Revisión 2 Ing. Julio Cesar Gonzalez Cervantes

Guia para examen de Sistemas Embebidos I Para primer parcial Febrero 2013 Revisión 2 Ing. Julio Cesar Gonzalez Cervantes Qué significa ALU? Arithmetic Logic Unit Guia para examen de Sistemas Embebidos I Para primer parcial Febrero 2013 Revisión 2 Ing. Julio Cesar Gonzalez Cervantes que operaciones realiza un ALU? suma, resta,

Más detalles

Tutorial Tutorial Verilog

Tutorial Tutorial Verilog Tutorial Tutorial Verilog Este tutorial prete ser una guía de aprizaje para el diseño HDL usando Verilog. Los conceptos del diseño se explican a lo largo de los ejemplos que se van desarrollando. Cada

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS TRANSFERENCIA ENTRE REGISTROS OBETIVO El lenguaje

Más detalles

Tema: Repaso sobre el uso del IDE de Microsoft Visual C#.

Tema: Repaso sobre el uso del IDE de Microsoft Visual C#. Programación IV. Guía No. 2 1 Facultad: Ingeniería Escuela: Computación Asignatura: Programación IV Tema: Repaso sobre el uso del IDE de Microsoft Visual C#. Objetivos Específicos Identificar los principales

Más detalles

TÉCNICAS DIGITALES CONTADORES

TÉCNICAS DIGITALES CONTADORES Universidad Nacional de Quilmes Diplomatura en Ciencia y Tecnología TÉCNICAS DIGITALES CONTADORES Un contador es un circuito secuencial que cambia de estado cada vez que recibe un pulso por la entrada

Más detalles

Introducción a los Microprocesadores Facultad de Ingeniería UDELAR 2014

Introducción a los Microprocesadores Facultad de Ingeniería UDELAR 2014 Tutorial de hardware Introducción A través de esta guía y con ayuda de la herramienta Quartus II, aprenderás a modificar el hardware de un sistema con Z80 implementado en la placa DE0 que se utiliza en

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

REALIZACIÓN DE PRÁCTICAS DE ELECTRÓNICA DIGITAL CON UN ESQUEMA DE DOCUMENTACIÓN JERARQUIZADA

REALIZACIÓN DE PRÁCTICAS DE ELECTRÓNICA DIGITAL CON UN ESQUEMA DE DOCUMENTACIÓN JERARQUIZADA REALIZACIÓN DE PRÁCTICAS DE ELECTRÓNICA DIGITAL CON UN ESQUEMA DE DOCUMENTACIÓN JERARQUIZADA Carlos J. Jiménez Fernández, Antonio Barbancho Concejero 2, Manuel Valencia Barrero 3 Universidad de Sevilla

Más detalles

Sistemas Digitales. Introducción. I.1 Definición. I.2 Diseño clásico y actual.

Sistemas Digitales. Introducción. I.1 Definición. I.2 Diseño clásico y actual. Introducción 1 Sistemas Digitales I.1 Definición. Denominamos sistema digital a aquél que realiza operaciones mediante dígitos, los cuales usualmente se representan como números binarios. Las principales

Más detalles

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Se pretende realizar el circuito lógico interno de una máquina tragaperras de tres ruletas. El sistema completo tiene un esquema como el

Más detalles

Estructura de Microprocesadores. Profesor Ing. Johan Carvajal Godínez

Estructura de Microprocesadores. Profesor Ing. Johan Carvajal Godínez Estructura de Microprocesadores PIC 18F4550 Administración de los temporizadores Profesor Ing. Johan Carvajal Godínez Módulos temporizadores El MCU 18F4550 posee cuatro módulos de temporización independientes

Más detalles

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata Ing. Guillermo Murcia Ing. Jorge Luis Strack gjmurcia@fi.mdp.edu.ar jlstrack@fi.mdp.edu.ar Ración y/o Modificación de una Paleta: Para trabajar más cómodamente o para tener más fácil acceso a los sub-vi

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES DISEÑO DE CIRCUITOS SECUENCILES Circuitos Digitales EC1723 Diseño de circuitos secuenciales (1) partir del enunciado del problema, construir el diagrama de estados y/o la tabla de estados y salidas. Determinar

Más detalles

El temporizador /contador Intel 8254

El temporizador /contador Intel 8254 El temporizador /contador Intel Su Arquitectura interna Modos programación Su interconexión n con un µprocesador Aplicaciones Características principales l 8C54 Frecuencia l reloj entrada 8MHz a MHz Versión

Más detalles