Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO"

Transcripción

1 Depuración de señales CIRCUITOS SISO Circuito SISO Circuito SIPO Circuito PISO

2 DEPURACIÓN DE SEÑALES Cuando tenemos muchas señales (o cables) es difícil depurar el comportamiento del sistema simplemente mediante números Cronograma crono (tiempo) + grama (dibujo) Esquema con los valores de las señales en cada instante

3 DEPURACIÓN DE SEÑALES DEFINICIÓN En Verilog, podemos definir el cronograma de nuestros circuitos con las siguientes órdenes $dumpfile( nombre_del_fichero.dmp )! Indica el fichero en el que se guardará el cronograma $dumpvars(profundidad, nombre_del_objeto)! Indica la variable (pueden ser módulos instanciados) que cuyas señales se almacenarán en el cronograma NOTA: Ambas órdenes deben introducirse al comienzo del código initial

4 DEPURACIÓN DE SEÑALES RECOGIDA Para empezar a recoger información en el cronograma: $dumpon! Para dejar de recoger información $dumpoff! Típicamente, se comienza justo tras definir el cronograma y se termina justo antes del final

5 DEPURACIÓN DE SEÑALES VISUALIZACIÓN El fichero no es para consumo humano Debemos analizarlo con un programa gráfico Uno de ellos disponible para Linux es GTKwave Para ejecutarlo, desde un terminal, tecleamos gtkwave nombre_del_fichero.dmp! Una vez arrancado, la inspección de datos es sencilla

6 CIRCUITOS BIESTABLES Un circuito que oscila entre dos (bi-) estados La salida del circuito puede ser de dos tipos: Estable: toma como salida la última salida del circuito Entrada: toma como salida la entrada del circuito Una entrada control determina en que estado está el circuito Este tipo de circuitos introducen la variable tiempo La salida de un circuito biestable es la que tenía en el momento anterior, a no ser que se tome de nuevo la entrada La entrada de control puede (suele) ser el reloj del sistema Son la base de los circuitos de desplazamiento SISO, SIPO, PISO y PIPO

7 ALWAYS always permitía realizar continuamente las operaciones de un bloque Se puede especificar el momento en que deben realizarse las operaciones de always, en función del cambio en señales: à siempre que cambie el valor de C C) à siempre que cambie el valor de B o de C C) à siempre que C esté en flanco de subida C) à siempre que C esté en flanco de bajada

8 MODELOS DE COMPORTAMIENTO Modo de construir los circuitos sin puertas lógicas Se hace uso intensivo de bloques lógicos (if, while, case ), de operaciones lógicas (~, &, ) y del bloque always No llegamos a tanto nivel de detalle Sólo nos interesa el comportamiento del circuito Una herramienta automática de síntesis se encargará del bajo nivel Ejemplo: biestable JK

9 MODELO DE COMPORTAMIENTO (JK) module JKup(output reg Q, output wire NQ, input wire J, input wire K,! input wire C, input wire npreset, input wire nclear);!! not(nq,q);!! initial! begin! Q=0;! end!! C)! if (npreset && nclear) // PRESET y CLEAR tienen prioridad! case ({J,K})!!//switch en Verilog! 2'b10: Q=1;! 2'b01: Q=0;! 2'b11: Q=~Q;! endcase!! case ({npreset,nclear}) // Si estan activas ambas, no hacer nada! 2'b01: Q=1;! 2'b10: Q=0;! endcase! endmodule!

10 Construid un biestable D con cualquiera de los métodos vistos en teoría Por ejemplo, mediante modelos de comportamiento: module D(output reg Q, input wire D,!!! input wire C, input wire nclr);! always@(negedge C)! begin! if (nclr == 1)! begin! Q = D;! end! end!! begin! if (nclr == 0)! begin! Q = 0;! end! end!! endmodule! EJERCICIO 1

11 Con el módulo del ejercicio anterior, construid un registro SISO: EJERCICIO 2 module SISO (output wire O, input wire I,!!! input wire C, input wire nclr);! wire C1;! wire C2;! wire C3;! D B1 (C1, I, C, nclr);! D B2 (C2, C1, C, nclr);! D B3 (C3, C2, C, nclr);! D B4 (O, C3, C, nclr);! endmodule!

12 Modificad ligeramente el código del ejercicio anterior para obtener el siguiente registro SIPO EJERCICIO 5 Probadlo. Usad los cables O como una única variable de cuatro bits, en lugar de cuatro variables de un bit

13 Construid y probad el siguiente registro PISO de cuatro bits EJERCICIO 5 Podéis diseñarlo de manera modular? Usando cuatro instancias de un módulo similar al rodeado por líneas discontinuas Cargad un valor por la entrada paralela e id desplazándolo hacia la salida serie

Introducción. Módulo comparador MÓDULOS. Puertos desconectados. Puertas con más de dos entradas

Introducción. Módulo comparador MÓDULOS. Puertos desconectados. Puertas con más de dos entradas Introducción Módulo comparador MÓDULOS Puertos desconectados Puertas con más de dos entradas INTRODUCCIÓN l l El diseño modular es un recurso muy usado en informática Consiste en dividir el problema en

Más detalles

Introducción. Interfaz. Diseño. Módulos. Instancia. Módulo comparador. Puertos desconectados

Introducción. Interfaz. Diseño. Módulos. Instancia. Módulo comparador. Puertos desconectados Introducción Interfaz Diseño Módulos Instancia Módulo comparador Puertos desconectados Introducción l l El diseño modular es un recurso muy usado en informá;ca Consiste en dividir el problema en unidades

Más detalles

INTRODUCCIÓN A HDL VERILOG 13/11/ :18:32

INTRODUCCIÓN A HDL VERILOG 13/11/ :18:32 INTRODUCCIÓN A HDL VERILOG 13/11/2018 19:18:32 Índice Introducción a HDL Verilog Bloque I: Diseño de circuitos combinacionales Bloque II: Diseño de circuitos secuenciales 2 Introducción Verilog es un lenguaje

Más detalles

Secuenciales II. Diseño de Sistemas con FPGA Patricia Borensztejn

Secuenciales II. Diseño de Sistemas con FPGA Patricia Borensztejn Secuenciales II Diseño de Sistemas con FPGA Patricia Borensztejn Revisado 2014 Modelado de Sistemas Secuenciales Según la función del siguiente estado se dividen en: Circuitos secuenciales regulares: el

Más detalles

Asignación no bloqueante. Circuitos biestables. Señal de reloj. Biestable RS. Biestable JK

Asignación no bloqueante. Circuitos biestables. Señal de reloj. Biestable RS. Biestable JK Asignación no bloqueante Circuitos biestables Señal de reloj Biestable RS Biestable JK Asignación no bloqueante l En Verilog, cuando hay varias asignaciones para una marca de Bempo, se realizan de modo

Más detalles

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

Tema 7 Registros y Contadores

Tema 7 Registros y Contadores Tema 7 s y Contadores Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid Contenidos. s Ø Ø Ø s con entrada serie y salida serie y paralelo s con entrada paralelo

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Unidad 8. Circuitos secuenciales síncronos

Unidad 8. Circuitos secuenciales síncronos Unidad 8. Circuitos secuenciales síncronos Circuitos Electrónicos igitales E.T.S.I. Informática Universidad de Sevilla iciembre, 25 Jorge Juan 2-25 Esta obra esta sujeta a la Licencia

Más detalles

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA www.ceduvirt.com CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA INTRODUCCIÓN SISTEMA SECUENCIAL Un sistema combinatorio se identifica por: 1. La salida del sistema debe ser estrictamente

Más detalles

Jerarquías. Búferes triestado MULTIPLEXORES (II) Contingencia de señales. Asignación de señales. Multiplexores

Jerarquías. Búferes triestado MULTIPLEXORES (II) Contingencia de señales. Asignación de señales. Multiplexores Jerarquías Búferes triestado MULTIPLEXORES (II) Contingencia de señales Asignación de señales Multiplexores JERARQUÍA DE MÓDULOS l l l Una de las características de los módulos es que pueden anidarse De

Más detalles

Circuitos electrónicos digitales

Circuitos electrónicos digitales Circuitos electrónicos digitales Universidad de Sevilla Tema 6 Unidades aritméticas y lógicas Índice Introducción Aritmética binaria Circuitos sumadores básicos Sumador de n bits Sumador/Restador Unidad

Más detalles

Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn

Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn Sistemas Secuenciales Es un circuito con memoria. La memoria forma el estado del circuito. Las salidas son función de las entradas y del

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 29 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012 LAB 5 Tarjeta de Desarrollo Spartan-3 Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012 Objetivos Generales Usar la interfaz serial sincrónica PS/2 como dispositivo de entrada de datos.

Más detalles

Tema 2. Sistemas Digitales

Tema 2. Sistemas Digitales Tema 2 Sistemas Digitales Contenidos del tema El nivel RT Diseño de la unidad de datos Interconexión mediante buses Ejemplo: diseño de una calculadora simple Diseño de la unidad de control: Descripción

Más detalles

Dispositivos de Memoria

Dispositivos de Memoria Práctica No. 2 Dispositivos de Memoria Datos de la práctica Carrera INGENIERIA ELECTRONICA Semestre Grupo Tipo Practica Laboratorio Simulación Fecha Asignatura Unidad Temática No Alumnos por practica 2

Más detalles

Tema 2. Sistemas Digitales

Tema 2. Sistemas Digitales Tema 2 Sistemas Digitales Contenidos del tema El nivel RT Diseño de la unidad de datos Interconexión mediante buses Ejemplo: diseño de una calculadora simple Diseño de la unidad de control: Descripción

Más detalles

Introducción a los lenguajes de descripción de hardware

Introducción a los lenguajes de descripción de hardware Introducción a los lenguajes de descripción de hardware Noviembre 2009 Jorge Juan Chico Departamento de Tecnología Electrónica Universidad de Sevilla Usted es libre de copiar, distribuir

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Aprender el uso de dispositivos controlados por una FPGA en una tarjeta de desarrollo. Conocer la interfaz PS/2, el protocolo de comunicación y

Más detalles

Sistemas Secuenciales

Sistemas Secuenciales Electrónica Básica Sistemas Secuenciales Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC CIRCUITOS SECUENCIALES Combinacional: las salidas dependen de las

Más detalles

MÓDULO Nº7 REGISTROS Y CONTADORES

MÓDULO Nº7 REGISTROS Y CONTADORES MÓDULO Nº7 REGISTROS Y CONTADORES UNIDAD: LÓGICA SECUENCIAL TEMAS: Registros. Contadores. OBJETIVOS: Explicar que es un registro, su clasificación y sus principales características. Explicar que es un

Más detalles

Tema 4. Sistemas Secuenciales

Tema 4. Sistemas Secuenciales Tema 4. istemas ecuenciales Índice Conceptos básicos Biestables: concepto y tipos egistros Almacenamiento esplazamiento erie-erie / erie-paralelo Paralelo-erie / Paralelo-Paralelo Universales Contadores

Más detalles

TEMA 12 Circuitos secuenciales

TEMA 12 Circuitos secuenciales TEMA 2 Circuitos secuenciales Introducción Biestable asíncrono Biestables síncronos Otros biestables Características temporales de los biestables Tema 2. Circuitos secuenciales - 2- Introducción Circuito

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles

Tema 2: Circuitos Secuenciales

Tema 2: Circuitos Secuenciales Tema 2: Circuitos Secuenciales Contenidos 2. Introducción 2.2 Descripción de un Sistema Secuencial 2.3 Elementos con Memoria 2.4 Análisis y Síntesis de Circuitos Secuenciales 2. Introducción Diagrama de

Más detalles

5 DESCRIPCIÓN BASADA EN ALGORITMOS

5 DESCRIPCIÓN BASADA EN ALGORITMOS 5 DESCRIPCIÓN BASADA EN ALGORITMOS Como hemos visto anteriormente, el diseño a nivel funcional o de comportamiento (behavioral description) permite describir circuitos digitales atio únicamente a las relaciones

Más detalles

Secuenciales (1) Diseño de Sistemas con FPGA 2 cuatrimestre 2009 Patricia Borensztejn

Secuenciales (1) Diseño de Sistemas con FPGA 2 cuatrimestre 2009 Patricia Borensztejn Secuenciales (1) Diseño de Sistemas con FPGA 2 cuatrimestre 2009 Patricia Borensztejn Sistemas Secuenciales Es un circuito con memoria. La memoria forma el estado del circuito. Las salidas son función

Más detalles

Unidad 5. Lenguajes de descripción de hardware

Unidad 5. Lenguajes de descripción de hardware Unidad 5. Lenguajes de descripción de hardware Circuitos Electrónicos Digitales E.T.S.I. Informática Universidad de Sevilla Octubre, 2015 Jorge Juan 2010-2015 Esta obra esta sujeta

Más detalles

Laboratorio 5: Semáforo de dos Vías

Laboratorio 5: Semáforo de dos Vías Laboratorio 5: Semáforo de dos Vías Objetivo. Aplicar los conocimientos teóricos adquiridos en clases sobre máquinas de estados secuenciales mediante la implementación práctica de un semáforo de cuatro

Más detalles

module MUX_4_1 (Z, S1, S0, A3, A2, A1, A0); input S1, S0, A3, A2, A1, A0; output Z; wire W3, W2, W1, W0, NS1, NS0;

module MUX_4_1 (Z, S1, S0, A3, A2, A1, A0); input S1, S0, A3, A2, A1, A0; output Z; wire W3, W2, W1, W0, NS1, NS0; 1 - INTRODUCCIÓN La creación de circuitos integrados utilizando herramientas CAD (Computer Aided Design) conlleva una serie secuencial de pasos, comenzando con el diseño de entrada y finalizando con la

Más detalles

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales.

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. TEMA 6: BIESTABLES. 6.1. Elementos de memoria: biestables y tipos. Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. La característica principal

Más detalles

Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn

Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn Sistemas Secuenciales Es un circuito con memoria. La memoria forma el estado del circuito. Las salidas son función de las entradas y del

Más detalles

Introducción. Configuración del entorno VERILOG. Primer programa. Elementos básicos. Estructura. Registros

Introducción. Configuración del entorno VERILOG. Primer programa. Elementos básicos. Estructura. Registros Introducción Configuración del entorno VERILOG Primer programa Elementos básicos Estructura Registros INTRODUCCIÓN Tecnología VLSI (Very Large Scale Integration) Permite integrar 100.000+ transistores

Más detalles

Máquina Sencilla: de 1988 a La Máquina Sencilla en Verilog Patricia Borensztejn Mayo 2016 Diseño de Sistemas con FPGA

Máquina Sencilla: de 1988 a La Máquina Sencilla en Verilog Patricia Borensztejn Mayo 2016 Diseño de Sistemas con FPGA Máquina Sencilla: de 988 a 26 La Máquina Sencilla en Verilog Patricia Borensztejn Mayo 26 Diseño de Sistemas con FPGA La Máquina Sencilla La Máquina Sencilla fue diseñada por Miguel Valero y Eduard Ayguadé,

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Usar la interfaz serial sincrónica PS/2 como dispositivo de entrada de datos. Diseñar un multiplexor con división de tiempo para manejo de display

Más detalles

TEMA 7. Registros y contadores

TEMA 7. Registros y contadores TEMA 7. Registros y contadores 1. Registros 1.1. Definición. Sistemas secuenciales síncronos formados por agrupaciones de biestables. Capacidad de almacenamiento simultaneo de varios bits. Capacidad de

Más detalles

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010 LAB 5 Tarjeta de Desarrollo Spartan-3 Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010 Objetivos Generales Controlar dispositivos mediante una FPGA. Conocer la interfaz PS/2 para conexión

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

Unidad 7. Unidades aritméticas y lógicas

Unidad 7. Unidades aritméticas y lógicas Unidad 7. Unidades aritméticas y lógicas Circuitos Electrónicos Digitales E.T.S.I. Informática Universidad de Sevilla Jorge Juan 2-28 Esta obra esta sujeta a la Licencia Reconocimiento-CompartirIgual

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y

Más detalles

Display de Cristal Líquido de Cuarzo

Display de Cristal Líquido de Cuarzo Display de Cristal Líquido de Cuarzo Un LCD ó Display de Cristal Líquido de Cuarzo es una pantalla en la que puede desplegar información mediante la polarización de cuarzo líquido. Los LCDs pueden ser

Más detalles

Tema 5 Subsistemas Combinacionales

Tema 5 Subsistemas Combinacionales Tema 5 Subsistemas Combinacionales ------------------------------------------------------------------------- Usted es libre de copiar, distribuir y comunicar públicamente la obra y de hacer obras derivadas

Más detalles

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales Organización de Computadoras 2003 Apunte 5: Circuitos Lógicos Secuenciales Introducción: En el desarrollo de los sistemas digitales es fundamental el almacenamiento de la información, esta característica

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Transceptores y Multiplexores

Transceptores y Multiplexores Jerarquías Transceptores y Multiplexores Puertas con n entradas Búferes triestado Con5ngencia de señales Asignación de señales Mul5plexores Jerarquía de módulos l l l Una de las caracterís5cas de los módulos

Más detalles

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Patricia Borensztejn

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Patricia Borensztejn Sistemas Combinacionales y mas Verilog Diseño de Sistemas con FPGA Patricia Borensztejn Verilog para síntesis Circuitos Combinacionales a nivel RT Tipos de Datos en Verilog Dos tipos de datos: Net: representan

Más detalles

Lattice isplever. Características. Gestión de proyectos

Lattice isplever. Características. Gestión de proyectos Lattice isplever Características Conjunto de herramientas para el diseño con CPLD y FPGA Versión isplever starter gratuita (licencia 6 meses) Entorno de desarrollo integrado -> incluye Gestión de proyectos

Más detalles

TEMA 6 INTRODUCCIÓN AL DISEÑO SECUENCIAL: CONTADORES Y REGISTROS

TEMA 6 INTRODUCCIÓN AL DISEÑO SECUENCIAL: CONTADORES Y REGISTROS TEMA 6 INTRODUCCIÓN AL DISEÑO SECUENCIAL: CONTADORES Y REGISTROS TEMA 6: INTRODUCCIÓN AL DISEÑO SECUENCIAL: CONTADORES Y REGISTROS Contexto Conocimiento Previo Necesario Objetivos del Tema Guía de Estudio

Más detalles

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017 LABORATORIO DE SISTEMAS DIGITALES PRÁCTICA No. 8 Fecha: 03/07/2017-07/07/2017 Escuela Politécnica nacional Tema: FLIP-FLOPS 1. Objetivos: Familiarizar al estudiante con la utilización y funcionamiento

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado 1 Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores Electrónica Tema 6 Circuitos Secuenciales Tema 1 Fundamentos de semiconductores 1 Lógica secuencial Un circuito secuencial es aquel cuyas salidas dependen no sólo de las entradas actuales, sino también

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

Fundamentos de los Computadores Grado en Ingeniería Informática Análisis y diseño de sistemas secuenciales

Fundamentos de los Computadores Grado en Ingeniería Informática Análisis y diseño de sistemas secuenciales 5. Análisis y diseño de sistemas secuenciales (I) Fundamentos de los Computadores Grado en Ingeniería Informática Introducción Objetivos: Comprender el funcionamiento de un circuito secuencial partiendo

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

Circuitos Electrónicos Digitales. Tema II Parte II. Álgebra de Conmutación

Circuitos Electrónicos Digitales. Tema II Parte II. Álgebra de Conmutación Circuitos Electrónicos Digitales Tema II Parte II Álgebra de Conmutación Índice 1.Álgebra de Conmutación 2.Funciones combinacionales 3.Formas normalizadas Álgebra de Conmutación Álgebra de Conmutación

Más detalles

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn PicoBlaze(3) Interfaces de Entrada/Salida Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn Temario Esta clase contiene: Interface de entrada/salida en PicoBlaze Ejemplo 1: a 2 +b

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

Pipeline. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn

Pipeline. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn Pipeline Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn Volvemos a los sumadores Queremos implementar un sumador de números grandes, de 128 bits. (n=128) con un sumador de 32 bits

Más detalles

Biestables. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid

Biestables. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid Biestables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Circuitos digitales y microprocesadores Entradas Funciones de salida Salidas Funciones de estado

Más detalles

T7-SISTEMAS SECUENCIALES

T7-SISTEMAS SECUENCIALES Circuitos ecuenciales 1 T7-ITEMA ECUENCIALE Los circuitos lógicos se clasifican en dos tipos: Combinacionales, aquellos cuyas salidas sólo dependen de las entradas actuales. ecuenciales, aquellos cuyas

Más detalles

Lógica secuencial. Biestables

Lógica secuencial. Biestables Universidad Rey Juan Carlos Lógica secuencial. Biestables Norberto Malpica norberto.malpica@urjc.es Dpto. Tecnología Electrónica Lógica secuencial. Biestables 1 Esquema 1. Introducción a los sistemas secuenciales

Más detalles

Circuitos Electrónicos. Final Septiembre 2009/2010 Problema 1º parcial

Circuitos Electrónicos. Final Septiembre 2009/2010 Problema 1º parcial Circuitos Electrónicos. Final Septiembre 2009/2010 Problema 1º parcial Se va a diseñar un sistema digital que cuente el número de '1's almacenados en un registro de desplazamiento de 8 bits. Para ello,

Más detalles

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Pablo Huerta Pellitero Luis Rincón Córcoles ÍNDICE Bibliografía

Más detalles

Introducción. Configuración del entorno. Primer programa. Elementos básicos. Verilog. Estructura. Registros. Cables. Valores especiales

Introducción. Configuración del entorno. Primer programa. Elementos básicos. Verilog. Estructura. Registros. Cables. Valores especiales Introducción Configuración del entorno Primer programa Verilog Elementos básicos Estructura Registros Cables Valores especiales GLSI ULSI VLSI 2 Introducción Hoy en día, es Imposible hacer el diseño de

Más detalles

Registros y contadores

Registros y contadores Universidad Rey Juan Carlos Registros y contadores Norberto Malpica norberto.malpica@urjc.es Ingeniería de Tecnologías Industriales Registros y contadores 1 Esquema 1. Concepto de registro. 2. Registros

Más detalles

TEMA 8. REGISTROS Y CONTADORES.

TEMA 8. REGISTROS Y CONTADORES. TEMA 8. REGISTROS Y CONTADORES. TECNOLOGÍA DE COMPUTADORES. CURSO 2007/08 8.1. Registros. Tipos de registros. Registros de desplazamiento. Los registros son circuitos secuenciales capaces de almacenar

Más detalles

FIFO. Diseño de Sistemas con FPGA Patricia Borensztejn

FIFO. Diseño de Sistemas con FPGA Patricia Borensztejn FIFO Diseño de Sistemas con FPGA Patricia Borensztejn Revisado mayo 2015 FIFO: Especificación Una cola FIFO es una estructura de datos (Tamaño: n datos de b bits) con dos señales: wr y rd. La señal wr,

Más detalles

Modelos de Circuitos FCHE 2011

Modelos de Circuitos FCHE 2011 Modelos de Circuitos Secuenciales: Mealy y Moore FCHE 20 Modelos/Maquinas/Autómatas Mealy: las salidas están en función de dos, el estado presente y las entrada. Moore: Las salidas están en función del

Más detalles

13-Bloques Básicos Secuenciales

13-Bloques Básicos Secuenciales 3-Bloques Básicos Secuenciales 3. Bloques básicos 3.2 Ejemplos de diseños 3: Bloques Básicos Bloques Básicos Secuenciales Bloques básicos secuenciales Contadores Registros de desplazamiento (o corrimiento)

Más detalles

Tema 7. SISTEMAS SECUENCIALES. Tema 7. Sistemas secuenciales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 7. SISTEMAS SECUENCIALES. Tema 7. Sistemas secuenciales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 7. SISTEMAS SECUENCIALES Tema 7. Sistemas secuenciales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS SECUENCIALES Sistemas secuenciales. Biestables Asíncronos Latch Flip-flop Contadores

Más detalles

PRÁCTICA 2: SISTEMAS DIGITALES

PRÁCTICA 2: SISTEMAS DIGITALES 1 OBJETIVOS: PRÁCTICA 2: SISTEMAS DIGITALES 1.1 Manejo de un software de simulación 1.2 Desarrollo de un Sistema Digital sencillo con componentes reales. 2 ESTUDIO TEÓRICO: Se pretender realizar varios

Más detalles

TEMA 5. Circuitos integrados secuenciales PROBLEMAS

TEMA 5. Circuitos integrados secuenciales PROBLEMAS TEMA 5 Circuitos integrados secuenciales PROBLEMAS - - Problema Obtener, razonadamente, el grafo de estados (diagrama de flujo) del circuito de la figura. Q Q 2 Q 3 T Q Q T 2 Q 2 T 3 Q 3 Q 2 P C P C P

Más detalles

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog:

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog: 3 - DISEÑO RTL Un diseño a nivel funcional o de comportamiento (behavioral description) permite describir circuitos digitales atendiendo únicamente a las relaciones existentes entre las entradas y salidas

Más detalles

UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones

UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 4 Máquinas de Estados Finitos (FSM) Profesor: Carlos A. Fajardo Bucaramanga,

Más detalles

Práctica 4: CONTADORES

Práctica 4: CONTADORES Práctica 4: CONTADOES Introducción Biestables Son circuitos que tienen dos estados estables. Cada estado puede permanecer de forma indefinida. Son circuitos con memoria Clasificación: Asíncronos: no necesitan

Más detalles

Creación de IP en HDL. 2 Cuatrimestre 2015 Patricia Borensztejn Laboratorio 5 30/09/2015

Creación de IP en HDL. 2 Cuatrimestre 2015 Patricia Borensztejn Laboratorio 5 30/09/2015 Creación de IP en HDL 2 Cuatrimestre 2015 Patricia Borensztejn Laboratorio 5 30/09/2015 Creación de un Multiplicador La idea es volver sobre los pasos de creación de un IP en HDL de forma de repasar, una

Más detalles

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Tema 2 Patricia Borensztejn

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Tema 2 Patricia Borensztejn Sistemas Combinacionales y mas Verilog Diseño de Sistemas con FPGA Tema 2 Patricia Borensztejn Verilog para síntesis Circuitos Combinacionales a nivel RT Operaciones Tipos de Datos en Verilog Dos tipos

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 ÍNDICE Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos

Más detalles

Practicas tuteladas VHDL (curso 04-05)

Practicas tuteladas VHDL (curso 04-05) Practicas tuteladas VHDL (curso 4-5) Tecnología de computadores. Prácticas de VHDL (Curso 3/4) PRÁCTICA-2: ATRIBUTOS DE SEÑALES ELEMENTOS DE MEMORIA Y REGISTROS OBJETIVOS: Utilizar el paquete de datos

Más detalles

Lógica Digital - Circuitos Secuenciales

Lógica Digital - Circuitos Secuenciales Lógica Digital - Circuitos Secuenciales Organización del Computador I Diego Fernández Slezak (gracias David y Fran!) Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Aprender el uso de un osciloscopio de señal mixta. Conocer y comprender los conceptos de prueba estática y prueba dinámica Medir tiempos de retardo en compuertas

Más detalles

Cuestiones. Estructura y Tecnología de Computadores (IG09) 1 er Parcial 12 de sept. de 2002

Cuestiones. Estructura y Tecnología de Computadores (IG09) 1 er Parcial 12 de sept. de 2002 Cuestiones. Circuitos combinacionales.4 (a) Qué es un multiplexor y para qué sirve? Un multiplexor es un dispositivo que posee una sola salida, 2 n entradas de datos y n entradas de control. Este dispositivo

Más detalles

Secuenciales II. Diseño de Sistemas con FPGA Patricia Borensztejn

Secuenciales II. Diseño de Sistemas con FPGA Patricia Borensztejn Secuenciales II Diseño de Sistemas con FPGA Patricia Borensztejn En la clase pasada Hicimos un contador universal Algunos de ustedes se dieron cuenta que la frecuencia de conteo, no podía ser la frecuencia

Más detalles

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Patricia Borensztejn

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Patricia Borensztejn Sistemas Combinacionales y mas Verilog Diseño de Sistemas con FPGA Patricia Borensztejn Verilog para síntesis Circuitos Combinacionales a nivel RT Tipos de Datos en Verilog Dos tipos de datos: Net: representan

Más detalles

Memorias primitivas sincrónicas.

Memorias primitivas sincrónicas. Capítulo 0 Memorias primitivas sincrónicas. Se estudiarán algunos dispositivos capaces de almacenar un bit de información, desde un punto de vista lógico. Es decir, qué hace la componente; sin explicar

Más detalles

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL. 30 ENERO 21 1º A (Superior) 1º B (Sistemas) 1º C (Gestión) SOLUCIONES 1.- Realiza los siguientes cambios de base, poniendo en todos los casos

Más detalles

TECNOLOGÍA DE COMPUTADORES. CURSO 2016/17. Problemas Secuenciales Resueltos

TECNOLOGÍA DE COMPUTADORES. CURSO 2016/17. Problemas Secuenciales Resueltos TECNOLOGÍA DE COMPUTADORES. CURSO 26/7. Problemas Secuenciales Resueltos ) Un contador síncrono ascendente de a es: A Un sistema secuencial con 2 estados, y necesita 4 biestables. B Un sistema secuencial

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

ELECTRÓNICA DIGITAL INGENIERÍA DE TELECOMUNICACIÓN

ELECTRÓNICA DIGITAL INGENIERÍA DE TELECOMUNICACIÓN ELECTRÓNIC IGITL INGENIERÍ E TELECOMUNICCIÓN Relación de problemas nº 3. Obtener para un biestable disparado por flanco de subida el cronograma de la salida cuando las. Obtener para un biestable disparado

Más detalles

Práctica 2. Simulación y diseño de circuitos secuenciales

Práctica 2. Simulación y diseño de circuitos secuenciales 1.1. Introducción....................................... 1 1.2. Registro de desplazamiento de 4 bits......................... 1 1.3. Contador creciente asíncrono de 4 bits........................ 2 1.4.

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

SUBSISTEMAS SECUENCIALES. Tema 7: SUBSISTEMAS SECUENCIALES

SUBSISTEMAS SECUENCIALES. Tema 7: SUBSISTEMAS SECUENCIALES Tema 7: SUBSISTEMAS SECUENCIALES Contenido * Contadores:Cuenta modular, ascendente/descendente, de rizado( ripple)/síncrono, salidas de fin de ciclo, operaciones especiales. * iseño de contadores con contadores.

Más detalles