Display de Cristal Líquido de Cuarzo

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Display de Cristal Líquido de Cuarzo"

Transcripción

1 Display de Cristal Líquido de Cuarzo Un LCD ó Display de Cristal Líquido de Cuarzo es una pantalla en la que puede desplegar información mediante la polarización de cuarzo líquido. Los LCDs pueden ser de varios tipos, como por ejemplo de matrices de puntos. Un LCD viene acompañado de un controlador y una memoria. El LCD que viene montado en la tarjeta DE2 es el HD44780, permite desplegar caracteres Ascii así como algunos caracteres especiales.

2 El LCD HD44780 El LCD cuenta con 2 renglones de 16 caracteres cada uno como se muestra en la figura:

3 El LCD HD44780 La forma en que se conecta el LCD es la siguiente: Potenciómetro Vdd Cont Gnd LCD RS R/W E Register Sellect Read/Write Enable FPGA Control del LCD D0 Comando/Datos D7

4 El LCD HD44780 Conexión en la DE2: Señales de control y bus de datos/comando

5 El LCD HD44780 Conexión en la DE2:

6 Manejo del LCD El LCD cuenta con 2 tipos de memoria: DDRAM: Display Data RAM CGRAM: Character Generator RAM R/W RS Función 0 0 Escritura de comando 0 1 Escritura de dato 1 0 Lectura de comando 1 1 Lectura de dato

7 Comandos de configuración del LCD Comando Palabra Función Limpiar 01H Limpia el LCD y pone cursor en inicio Inicio 02H ó 03H Regresa cursor a inicio sin borrar Entrada de caracteres 06H 05H 07H F_ ; FU_ ; FUN_ (escribe y corre a la derecha) F ; _UF ; NUF (escribe y corre a la izquierda) F ; _FU ; FUN (escribe y empuja caracteres a la derecha)

8 Comandos del LCD Comando Palabra Función Display On/OFF 08H 0CH 0DH 0FH 0EH ON /OFF Display ON, Cursor OFF El caracter Parpadea El cursor parpadea Cursor ON Corrimiento del cursor Configuración del display 10H 14H 18H 1CH 30H 38H Escribe y corre Corre sin borrar Corre y borra a la izquierda Corre y borra a la derecha Una línea Dos líneas

9 Comandos del LCD Comando Palabra Función Display On/OFF 08H 0CH 0DH 0FH 0EH ON /OFF Display ON, Cursor OFF El caracter Parpadea El cursor parpadea Cursor ON Corrimiento del cursor Configuración del display 10H 14H 18H 1CH 30H 38H Escribe y corre Corre sin borrar Corre y borra a la izquierda Corre y borra a la derecha Una línea Dos líneas

10 Ciclo de Escritura y Lectura

11 Ciclo de Escritura y Lectura

12 Ciclo de Escritura y Lectura

13 Ciclo de Escritura y Lectura

14 Rutina sugerida de configuración:

15 M.E. sugerida de configuración: desplegar HOLA

16 Secciones del código en VHDL Máquina de Estados: type EDOS is (IDLE, C1, C2, C3, C4, C5, C6, C7, C8, C9, C10, C11, C12, C13, C14, C15, C16, C17, C18, ESPERA); signal EDO, EDO_F, EDO_X, EDO_Y : EDOS := IDLE; process (CLK, CUENTA, RST) begin if RST = '1' then EDO <= IDLE; EDO_Y <= IDLE; elsif CLK'event and CLK = '1' then if CUENTA = X FFE" then EDO <= EDO_F; EDO_Y <= EDO_X; end if; end if; end process; Nota: El valor del contador depende del diseño de cada uno. process (CLK, EDO) begin case EDO is when IDLE => when C1 => RS <= '0'; E <= '0'; DATA <= X"00"; EDO_X <= C1; RS <= '0'; DATA <= X"38"; EDO_X <= C2;

17 Código en VHDL: when C2 => when C3 => when C4 => RS <= '0'; DATA <= X"38"; EDO_X <= C4; RS <= '0'; DATA <= X"38"; EDO_X <= C4; RS <= '0'; DATA <= X"0D"; EDO_X <= C5; when C5 => RS <= '0'; when C6 => when C7 => DATA <= X"01"; EDO_X <= C7; RS <= '0'; DATA <= X"06"; EDO_X <= C7; RS <= '0'; DATA <= X"80"; EDO_X <= C8;

18 Código en VHDL: when C8 => when C9 => when C10 => RS <= '1'; DATA <= X"48"; --H EDO_X <= C9; RS <= '1'; DATA <= X"4F"; --O EDO_X <= C10; RS <= '1'; DATA <= X"4C"; --L EDO_X <= C11; when C11 => RS <= '1'; DATA <= X"41"; --A EDO_X <= C12; when C12 => when C13 => when C14 => when C15 => RS <= '1'; DATA <= X"21"; --! EDO_X <= C13; RS <= '0'; DATA <= X"C5"; -- 2o Renglón EDO_X <= C14; RS <= '1'; DATA <= X"41"; -- A EDO_X <= C15; RS <= '1'; DATA <= X"44"; -- D EDO_X <= C16;

19 Código en VHDL: when C16 => RS <= '1'; DATA <= X"47"; -- G EDO_X <= C17; when C17 => when C18 => RS <= '1'; DATA <= X"47"; -- G EDO_X <= C18; RS <= '1'; DATA <= X"10"; EDO_X <= C7; when ESPERA => RS <= '0'; E <= '0'; DATA <= X"00"; EDO_F <= EDO_Y; when others => null; end case; end process;;

20 Ejemplo en Verilog: module LCD_TEST ( // Host Side iclk,irst_n, // LCD Side LCD_DATA,LCD_RW,LCD_ON,LCD_EN,LCD_RS); // Host Side input iclk,irst_n; // LCD Side output [7:0] LCD_DATA; output LCD_RW,LCD_EN,LCD_RS, LCD_ON; // Internal Wires/Registers reg [5:0] LUT_INDEX; reg [8:0] LUT_DATA; reg [5:0] mlcd_st; reg [17:0] mdly; reg mlcd_start; reg [7:0] mlcd_data; reg mlcd_rs; wire mlcd_done;

21 Ejemplo en Verilog: assign LCD_ON = 1; parameter LCD_INTIAL = 0; parameter LCD_LINE1 = 5; parameter LCD_CH_LINE = LCD_LINE1+16; parameter LCD_LINE2 = LCD_LINE1+16+1; parameter LUT_SIZE = LCD_LINE1+32+1; always@(posedge iclk or negedge irst_n) begin if(!irst_n) begin LUT_INDEX <= 0; mlcd_st <= 0; mdly <= 0; mlcd_start <= 0; mlcd_data <= 0; mlcd_rs <= 0; end else begin if(lut_index<lut_size) begin case(mlcd_st) 0: begin mlcd_data <= LUT_DATA[7:0]; mlcd_rs <= LUT_DATA[8]; mlcd_start <= 1; mlcd_st <= 1; end 1: begin if(mlcd_done) begin mlcd_start <= 0; mlcd_st <= 2; end end 2: begin if(mdly<18'h3fffe) end mdly <= mdly+1; else begin mdly <= 0; mlcd_st <= 3; end

22 Ejemplo en Verilog: end end end 3: begin end endcase LUT_INDEX <= LUT_INDEX+1; mlcd_st <= 0; always begin case(lut_index) // Initial LCD_INTIAL+0: LUT_DATA <= 9'h038; LCD_INTIAL+1: LUT_DATA <= 9'h00C; LCD_INTIAL+2: LUT_DATA <= 9'h001; LCD_INTIAL+3: LUT_DATA <= 9'h006; LCD_INTIAL+4: LUT_DATA <= 9'h080; // Line 1 LCD_LINE1+0: LUT_DATA <= {1'b1,"S"}; // Welcome to the LCD_LINE1+1: LUT_DATA <= {1'b1,"E"}; LCD_LINE1+2: LUT_DATA <= {1'b1,"R"}; LCD_LINE1+3: LUT_DATA <= {1'b1,"V"}; LCD_LINE1+4: LUT_DATA <= {1'b1,"I"}; LCD_LINE1+5: LUT_DATA <= {1'b1,"C"}; LCD_LINE1+6: LUT_DATA <= {1'b1,"I"};

23 Ejemplo en Verilog: LCD_LINE1+7: LUT_DATA <= {1'b1,"O"}; LCD_LINE1+8: LUT_DATA <= {1'b1," "}; LCD_LINE1+9: LUT_DATA <= {1'b1,"B"}; LCD_LINE1+10: LUT_DATA <= {1'b1,"E"}; LCD_LINE1+11: LUT_DATA <= {1'b1,"C"}; LCD_LINE1+12: LUT_DATA <= {1'b1,"A"}; LCD_LINE1+13: LUT_DATA <= {1'b1,"R"}; LCD_LINE1+14: LUT_DATA <= {1'b1,"I"}; LCD_LINE1+15: LUT_DATA <= {1'b1,"O"}; // Change Line LCD_CH_LINE: LUT_DATA <= 9'h0C0; // Line 2 LCD_LINE2+0: LUT_DATA <= {1'b1," "}; // Altera DE2 Board LCD_LINE2+1: LUT_DATA <= {1'b1," "}; LCD_LINE2+2: LUT_DATA <= {1'b1," "}; LCD_LINE2+3: LUT_DATA <= {1'b1," "}; LCD_LINE2+4: LUT_DATA <= {1'b1," "}; LCD_LINE2+5: LUT_DATA <= {1'b1," "}; LCD_LINE2+6: LUT_DATA <= {1'b1," "}; LCD_LINE2+7: LUT_DATA <= {1'b1," "}; LCD_LINE2+8: LUT_DATA <= {1'b1," "}; LCD_LINE2+9: LUT_DATA <= {1'b1," "};

24 Ejemplo en Verilog: end LCD_LINE2+11: LUT_DATA <= {1'b1," "}; LCD_LINE2+12: LUT_DATA <= {1'b1," "}; LCD_LINE2+13: LUT_DATA <= {1'b1," "}; LCD_LINE2+14: LUT_DATA <= {1'b1," "}; LCD_LINE2+15: LUT_DATA <= {1'b1," "}; default: LUT_DATA <= {1'b1," "}; endcase LCD_Controller u0 ( // Host Side.iDATA(mLCD_DATA),.iRS(mLCD_RS),.iStart(mLCD_Start),.oDone(mLCD_Done),.iCLK(iCLK),.iRST_N(iRST_N), // LCD Interface.LCD_DATA(LCD_DATA),.LCD_RW(LCD_RW),.LCD_EN(LCD_EN),.LCD_RS(LCD_RS) ); endmodule

Modulo LCD MODULO LCD

Modulo LCD MODULO LCD MODULO LCD Las pantallas de cristal líquido LCD o display LCD (Liquid Cristal Display) consta de una matriz de caracteres (normalmente de 5x7 o 5x8 puntos) distribuidos en una, dos, tres o cuatro líneas

Más detalles

El módulo LCD Ejemplos de funcionamiento

El módulo LCD Ejemplos de funcionamiento SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS PRACTICAS DE MICROCONTROLADORES PIC PRÁCTICA 7: El módulo LCD El módulo LCD Ejemplos de funcionamiento - 1 - 1. Objetivos: - Conocer el funcionamiento y programación

Más detalles

DISPLAY LCD MICROPROCESADORES

DISPLAY LCD MICROPROCESADORES Módulo LCD HD4478 de de Hitachi Módulo LCD HD4478 de de Hitachi E R / W D-D7 D-D7 VDD Vo Vss Controlador Controlador del del LCD LCD HD4478 HD4478 Manejador Manejador Del Del LCD LCD Manejador Manejador

Más detalles

Cómo gobernar un display LCD alfanumérico basado en el controlador HD44780

Cómo gobernar un display LCD alfanumérico basado en el controlador HD44780 Cómo gobernar un display LCD alfanumérico basado en el controlador HD44780 Descarga desde: http://eii.unex.es/profesores/jisuarez/descargas/ip/lcd_alfa.pdf José Ignacio Suárez Marcelo Universidad de Extremadura

Más detalles

MANEJO DE DISPLAY LCD (Versión 1.1)

MANEJO DE DISPLAY LCD (Versión 1.1) MANEJO DE DISPLAY LCD (Versión 1.1) Prof: Bolaños D. Si bien mucas aplicaciones donde debemos mostrar información podemos resolverlas con display de 7 segmentos, estos presentas algunas limitaciones importantes,

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

DISPLAYS DE CRISTAL LIQUIDO

DISPLAYS DE CRISTAL LIQUIDO DISPLAYS DE CRISTAL LIQUIDO INDICE MANUAL DE REFERENCIA DEL LCD 1.- INTRODUCCION 2.- CARACTERISTICAS DEL DISPLAY 2.1.- Aspecto físico 2.2.- Alimentación 2.3.- Los caracteres del LCD 2.4.- La memoria del

Más detalles

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-27 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Sequential Logic Described in VHDL - A Design Example ARTECHE DIAZ Raul Center of

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

LCDsalfanuméricos. La mayoría están basados en el controlador Hitachi HD44780

LCDsalfanuméricos. La mayoría están basados en el controlador Hitachi HD44780 LCDsalfanuméricos La mayoría están basados en el controlador Hitachi HD4478 Máximo 2 líneas de 4 caracteres Algunas pantallas están «plegadas» y tienen 4 líneas de 2 caracteres El controlador sólo tiene

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO Depuración de señales CIRCUITOS SISO Circuito SISO Circuito SIPO Circuito PISO DEPURACIÓN DE SEÑALES Cuando tenemos muchas señales (o cables) es difícil depurar el comportamiento del sistema simplemente

Más detalles

Registros. Buses. Memorias.

Registros. Buses. Memorias. Capítulo 5 egistros. Buses. Memorias. 5.. egistros. Se denomina registro a una serie de flip-flops agrupados y con señales de control común. Se considera que se leerán o escribirán como una unidad. Se

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Capítulo No. 07 Pantalla de Caracteres LCD

Capítulo No. 07 Pantalla de Caracteres LCD Capítulo No. 07 Pantalla de Caracteres LCD Sistema de Desarrollo para MC, modelo MDK-B28-150 - Introducción. En capítulos anteriores, se han utilizado como dispositivos de visualización los diodos LED

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Tutorial de ModelSim PE Student Edition

Tutorial de ModelSim PE Student Edition Tutorial de ModelSim PE Student Edition Instalación Ir a http://portal.model.com/modelsim/downloads/license_agreement_form_gen.asp Rellenar los datos y selecionar Request Download Seleccionar el enlace

Más detalles

Conexionado del módulo LCD para ser controlado por conexión serie: Instrucciones para el control del LCD(comandos de control):

Conexionado del módulo LCD para ser controlado por conexión serie: Instrucciones para el control del LCD(comandos de control): Conexionado del módulo LCD para ser controlado por conexión serie: El PICAXE-18 puede ser el entrenador de sistemas PICAXE-18x. La conexión de salida del PICAXE-18 (output7) tiene que ser directa sin pasar

Más detalles

Control de un módulo LCD a través del bus I2C

Control de un módulo LCD a través del bus I2C Control de un módulo LCD a través del bus I2C Requerimientos hardware Placa basada en microcontrolador pic 16F877x (Plataforma Monibot) Módulo lcd por I2C modelo LCD03 Cable de comunicación de 4 hilos

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

Memoria. Diseño de Sistemas con FPGA Patricia Borensztejn

Memoria. Diseño de Sistemas con FPGA Patricia Borensztejn Memoria Diseño de Sistemas con FPGA Patricia Borensztejn ( Spartan-3 ) Memoria La Spartan-3 conjuntamente con el S3 board proveen varias opciones de almacenamiento: Registros (FF): aprox. 4.5K bits (4320

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

PIC 18F45XX EL CONTROL DEL LCD

PIC 18F45XX EL CONTROL DEL LCD PIC 18F45XX EL CONTROL DEL LCD 1. Driver Microchip proporciona un driver que contine todas las funciones para controlar un LCD genérico con controlador Hitachi HD44780. El archivo se

Más detalles

PIC MICRO ESTUDIO Display de 2 y4 Dígitos RS-232 (Clave 701 y 702) www.electronicaestudio.com. Guía de Uso

PIC MICRO ESTUDIO Display de 2 y4 Dígitos RS-232 (Clave 701 y 702) www.electronicaestudio.com. Guía de Uso PIC MICRO ESTUDIO Display de 2 y4 Dígitos RS-232 (Clave 701 y 702) www.electronicaestudio.com Guía de Uso Ing. Wilfrido González Bonilla Display de 2 y4 Dígitos RS-232 con el PIC16F84 Son innumerables

Más detalles

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Iván González, Juan González, Francisco Gómez-Arribas Escuela Politécnica Superior Universidad Autónoma de Madrid

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

COMANDOS EN LENGUAJE DE PROGRAMACIÓN BASIC

COMANDOS EN LENGUAJE DE PROGRAMACIÓN BASIC COMANDOS EN LENGUAJE DE PROGRAMACIÓN BASIC COMANDO FUNCIÓN EJEMPLO BORRA LA PANTALLA Borra la pantalla ESCRIBE TEXTO O GRÁFICOS EN PRINT HOLA Escribe HOLA en pantalla LA PANTALLA. PRINT PRINT a Escribe

Más detalles

V. Elementos de la Arquitectura

V. Elementos de la Arquitectura V. Elementos de la Arquitectura 1 Tipos de Datos Todos los sistemas digitales manejan la información como bits o vectores de bits. Sin embargo no es posible ni conveniente usar sólo estos tipos para todos

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Ensamblador. Interrupciones. Dentro de una computadora existen dos clases de interrupciones:

Ensamblador. Interrupciones. Dentro de una computadora existen dos clases de interrupciones: Ensamblador Interrupciones Definición: Una interrupción es el rompimiento en la secuencia de un programa para ejecutar un programa especial llamando una rutina de servicio cuya característica principal

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Arquitectura Básica para Controladores de Lógica Difusa a Programarse en FPGAs

Arquitectura Básica para Controladores de Lógica Difusa a Programarse en FPGAs Arquitectura Básica para Controladores de Lógica Difusa a Programarse en FPGAs Juan C. Herrera Lozada, jcrls@ipn.mx Ma. de Lourdes Olvera Cárdenas, lolvera@ipn.mx Ma. Teresa Lozano Hernández. tlozanoh@ipn.mx

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Descripciones funcionales y estructurales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Descripciones funcionales y estructurales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Descripciones funcionales y estructurales Descripciones funcionales y estructurales. Introducción al lenguaje

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

GESTION DE UN LCD USANDO CCS COMPILER (PICC)

GESTION DE UN LCD USANDO CCS COMPILER (PICC) GESTION DE UN LCD USANDO CCS COMPILER (PICC) La mayoría de displays de cristal líquido se usan con controlador del tipo HD44780, con un número de líneas variable y una cantidad de caracteres también variable,

Más detalles

ELEMENTOS Y TIPOS DE DATOS

ELEMENTOS Y TIPOS DE DATOS 1 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Y TIPOS DE DATOS Elementos Tipos de datos Librerías Std_logic y Std_logic_vector 2 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Elementos del VHDL: Comentarios Palabras reservadas.

Más detalles

Centro Universitario de Ciencias Exactas e Ingenierías DIVISION DE ELECTRONICA Y COMPUTACION

Centro Universitario de Ciencias Exactas e Ingenierías DIVISION DE ELECTRONICA Y COMPUTACION SISTEMA DE SEGURIDAD PARA CASA HABITACION González Padilla Carlos Efraín, Hernández García Edgar Aureliano, López Seda Celia Cristina, Vázquez Domínguez Armando. e-mail: legardenfx@hotmail.com, autenticotequila@hotmail.com,

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Enunciados de Prácticas de Laboratorio Estructura de Computadores Nota: Los archivos

Más detalles

CONEXIÓN DE UNA PANTALLA LCD A UN MICROCONTROLADOR

CONEXIÓN DE UNA PANTALLA LCD A UN MICROCONTROLADOR CONEXIÓN DE UNA PANTALLA LCD A UN MICROCONTROLADOR ALUMNOS: José Hipólito Pascual José Luis Fernández Enrique Silvestre Mario Pozo José Ignacio Seguí 1 INDICE 1-Introducción 2- Qué es un LCD? 2.1-Los caracteres

Más detalles

Memorias ROM: FPGA-VHDL Cómo???

Memorias ROM: FPGA-VHDL Cómo??? Memorias ROM: FPGA-VHDL Cómo??? Nota Técnica 03 Cristian Sisterna Introducción Básicamente hay dos formas de que una memoria ROM sea implementada en un FPGA a partir del código VHDL: - Deduciendo la memoria

Más detalles

Implementación de Filtros Digitales Tipo FIR en FPGA

Implementación de Filtros Digitales Tipo FIR en FPGA Implementación de Filtros Digitales Tipo FIR en FPGA Jesús Antonio Álvarez Cedillo, Klauss Michael Lindig Bos, Gustavo Martínez Romero Resumen En este artículo se hace la descripción del diseño de un filtro

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos generales

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

OPENCOCKPITS IOCards USBExpansion + Master MANUAL DE INSTALACION Y USO

OPENCOCKPITS IOCards USBExpansion + Master MANUAL DE INSTALACION Y USO OPENCOCKPITS MANUAL DE INSTALACION Y USO INTRODUCCION Debido a la actualización de los ordenadores y a la progresiva desaparición de los puertos paralelos en los PC s, no podemos dar más soporte a las

Más detalles

Reporte 6. Hazael F. Mojica G. y Ricardo I. Guevara Z. Lunes 21 de Octubre del 2013. Hazael Fernando Mojica Garcia 1500724

Reporte 6. Hazael F. Mojica G. y Ricardo I. Guevara Z. Lunes 21 de Octubre del 2013. Hazael Fernando Mojica Garcia 1500724 Reporte Hazael F. Mojica G. y Ricardo I. Guevara Z. Lunes de Octubre del 0 Tópicos selectos en Biodispositivos Hazael Fernando Mojica Garcia 00 Ricardo Israel Guevara Zavala Equipo: BlueSpark Medidor de

Más detalles

CAN-101, HT6P20x2 Encoder para aplicaciones remotas de control

CAN-101, HT6P20x2 Encoder para aplicaciones remotas de control Nota de Aplicación: CAN-101 Título: HT6P20x2 Encoder para aplicaciones remotas de control Autor: Ing Iván C Sierra Revisiones Fecha Comentarios 0 28/01/13 En esta oportunidad le presentamos un nuevo encoder,

Más detalles

Tema 2 Descripción de VHDL (2/2)

Tema 2 Descripción de VHDL (2/2) TECNOLOGÍA DE COMPUTADORES Tema 2 Descripción de VHDL (2/2) Agustín Álvarez Marquina Tipos de sentencias en VHDL Sentencias concurrentes PROCESS Asignación de señal BLOCK Llamadas a procedimientos Llamadas

Más detalles

LCD. Las pantallas de cristal líquido o módulos LCD, como. Módulo. con interface serial

LCD. Las pantallas de cristal líquido o módulos LCD, como. Módulo. con interface serial Módulo Módulo LCD con interface serial LCD con interface serial EDISON DUQUE C. Este módulo permite mostrar, en una pantalla de cristal líquido, los mensajes que son enviados desde una computadora o un

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Práctica III Introducción a la descripción VHDL del procesador DLX

Práctica III Introducción a la descripción VHDL del procesador DLX Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 3 Práctica III Introducción a la descripción VHDL del procesador DLX 3.1. Implementación del procesador DLX La configuración

Más detalles

Transceptores y Multiplexores

Transceptores y Multiplexores Jerarquías Transceptores y Multiplexores Puertas con n entradas Búferes triestado Con5ngencia de señales Asignación de señales Mul5plexores Jerarquía de módulos l l l Una de las caracterís5cas de los módulos

Más detalles

1.- Introducción CONEXIÓN DEL PLC A UN DISPOSITIVO EXTERNO. Figura 1.- T100MD888+ y modulo LCD

1.- Introducción CONEXIÓN DEL PLC A UN DISPOSITIVO EXTERNO. Figura 1.- T100MD888+ y modulo LCD CONEXIÓN DEL PLC A UN DISPOSITIVO EXTERNO Figura 1.- T100MD888+ y modulo LCD 1.- Introducción T100MD888+ es un Nuevo miembro de la familia de PLC T100MD. La unidad básica comprende 8 I/Os análogas, 8 entradas

Más detalles

Correcto uso de Reset en FPGAs y su Codificación en VHDL

Correcto uso de Reset en FPGAs y su Codificación en VHDL Correcto uso de Reset en FPGAs y su Codificación en VHDL Nota Técnica 10 Cristian Sisterna Introducción En esta nota técnica se describirán con bastante detalle los distintos tipos de reset que se pueden

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

TARJETA DISPLAYS II MANUAL DE INSTALACION Y USO

TARJETA DISPLAYS II MANUAL DE INSTALACION Y USO MANUAL DE INSTALACION Y USO ESQUEMA DE MONTAJE LISTA DE COMPONENTES: J1 = CONECTOR 40 PINS J2, J3,J4, J5 = REGLETA DE 2 PINES MACHO SW1 = REGLETA DE 2 PINES MACHO J6 = REGLETA DE 8 PINES MACHO J7 = REGLETA

Más detalles

PL/SQL. DECLARE /* Parte Declarativa */ BEGIN /* Parte de Ejecución */ EXCEPTION /* Parte de Excepciones */ END;

PL/SQL. DECLARE /* Parte Declarativa */ BEGIN /* Parte de Ejecución */ EXCEPTION /* Parte de Excepciones */ END; 18 de marzo de 2002 1 1. Declaraciones Variables y constantes Cursores Excepciones 2. Instrucciones Asignación Control de flujo Bucles 3. Manejadores de excepciones 4. Anidamiento de bloques 5. Tipos de

Más detalles

CONTADORES CONTADORES ASINCRONOS ASCENDENTE

CONTADORES CONTADORES ASINCRONOS ASCENDENTE CONTADOES CONTADOES ASINCONOS ASCENDENTE S 2 J ck 2 K Vdd Vdd Vdd S J ck K S J ck K Las entradas asincronas S y estan desactivadas -- CI : 2 3 4 5 6 7 8 9 2 2 CONTADO ASINCONO DESCENDENTE S 2 J ck 2 K

Más detalles

Display LCD y memorias

Display LCD y memorias 1.2.21. Display LCD y memorias En este apartado vamos a utilizar un Display LCD para mostrar datos procedentes de nuestra placa ZUM BT o Arduino UNO compatible. Hay diferentes tipos de displays LCD. Podemos

Más detalles

Práctica 1Herramientas: Herramientas básicas para manejar la RS232

Práctica 1Herramientas: Herramientas básicas para manejar la RS232 Práctica 1Herramientas: Herramientas básicas para manejar la RS232 1 Objetivos El objetivo de esta práctica es familiarizar al alumno con las herramientas básicas para poner en marcha y depurar un sistema

Más detalles

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Antecedentes: Memorias como ROM etc. ANEXO: Formulario. Resumen de circuitos combinacionales y secuenciales TIP: Códigos para copiar rápido en Word,

Más detalles

MODBus RTU en los registradores CAMRegis

MODBus RTU en los registradores CAMRegis 157FHF1 E MODBus RTU en los registradores CAMRegis 1. INTRODUCCIÓN Este documento está orientado a describir al usuario el funcionamiento del protocolo serie de comunicaciones MODBus RTU implementado por

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

ANEXO AL MANUAL DE FUNCIONAMIENTO

ANEXO AL MANUAL DE FUNCIONAMIENTO ES ANEXO AL MANUAL DE FUNCIONAMIENTO INDICADOR SELF-SERVICE ENI-71 TABLA DE CONTENIDO 1. GENERALIDADES...3 2. AJUSTE SERVICIO TECNICO...3 3. FUNCIONAMIENTO USUARIO...4 3.1. Modo SELF-SERVICE...4 4. PROGRAMACION...5

Más detalles

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Introducción Al ejecutar el software

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 7

Sistemas Electrónicos Digitales. PRACTICA nº 7 PRACTICA nº 7 Diseño de Memorias RAM y ROM. Síntesis e implementación sobre dispositivos FPGA. Síntesis empleando FFs y síntesis utilizando bits de RAM dedicados Objetivos: Descripción y síntesis de memorias

Más detalles

CRISTÓBAL GARCÍA PÉREZ CRISTIAN EDWIN ARBOLEDA VALENCIA

CRISTÓBAL GARCÍA PÉREZ CRISTIAN EDWIN ARBOLEDA VALENCIA IMPLEMENTACIÓN DE TÉCNICAS DE GENERACIÓN DE MODULACIÓN POR ANCHO DE PULSO (PWM) UTILIZANDO DISPOSITIVOS DE LÓGICA PROGRAMABLE (FIELD-PROGRAMMABLE GATE ARRAY) PARA EL CONTROL DE INVERSORES TRIFÁSICOS CRISTÓBAL

Más detalles

Contenido de la Presentación

Contenido de la Presentación Introducción a los Bloques de Función (FB) Automation & Drives Business Unit Contenido de la Presentación 2 Ventajas del ST (Texto Estructurado) o Ladder Editor de Bloques de Función Texto Estructurado

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Tema 2. Funciones Lógicas Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Introducción al VHDL Definición de las estructuras básicas. Entidades: genéricos y puertos. Tipos

Más detalles

MICROPROCESADORES PARTES DE UN MICROPROCESADOR

MICROPROCESADORES PARTES DE UN MICROPROCESADOR PARTES DE UN MICROPROCESADOR Un Microprocesador es una unidad central de proceso de propósito general (CPU ó CENTRAL PROCESSING UNIT) que esta dentro de un solo Circuito Integrado ó Chip. Las partes que

Más detalles

GUÍA DE REALIZACIÓN DE LAS PRÁCTICAS

GUÍA DE REALIZACIÓN DE LAS PRÁCTICAS GUÍA DE REALIZACIÓN DE LAS PRÁCTICAS UTILIZANDO LA PLACA DE2 DE ALTERA Sistemas Digitales Programables Ricardo J. Colom Palero, Rafael Gadea Girones y Vicente Herrero Bosch Universitat Politècnica de València

Más detalles

INFORMACIÓN UTIL PARA FUNCIONAMIENTO DEL MÓDULO LCD CON LA TARJETA DE DESARROLLO XS95

INFORMACIÓN UTIL PARA FUNCIONAMIENTO DEL MÓDULO LCD CON LA TARJETA DE DESARROLLO XS95 Centro de Robótica UTFSM Noviembre 2003 INFORMACIÓN UTIL PARA FUNCIONAMIENTO DEL MÓDULO LCD CON LA TARJETA DE DESARROLLO XS95 1. MAPEO EN MEMORIA PARA DISPOSITIVOS EXTERNOS EN TARJETA XS95 El mapeo en

Más detalles

Sistema de Monitoreo de Temperaturas. Marca BITLINK

Sistema de Monitoreo de Temperaturas. Marca BITLINK Sistema de Monitoreo de Temperaturas Marca BITLINK 1 Índice Descripción del Sistema Pag. 3 Diagrama del sistema Pag. 5 Configuracion de la Red Local (LAN) Pag. 6 Configuración de textos en el BIT2000 Pag.

Más detalles

INTRODUCCIÓN. Interrupciones.

INTRODUCCIÓN. Interrupciones. Interrupciones. INTRODUCCIÓN Una interrupción es la ocurrencia de un evento o condición la cuál causa una suspensión temporal del programa mientras la condición es atendida por otro subprograma. Una interrupción

Más detalles

MODBUS. Se pueden identificar tres capas: Nivel de capa Física, nivel de capa de Enlace y nivel de capa de Aplicación.

MODBUS. Se pueden identificar tres capas: Nivel de capa Física, nivel de capa de Enlace y nivel de capa de Aplicación. Contenido Buses de campo. Protocolo MODBUS y su aplicación. Protocolo MCP (Multidrop Communications Protocol) y su aplicación. Perspectivas del sistema. Buses campo Un bus de campo es un sistema de transmisión

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

Vdd MIC29302. Vss PF.0 CS DI DAT CLK PE.7 PD.4 PD.5 PB.0. CAN-054, Utilización de tarjetas MMC en bajo nivel

Vdd MIC29302. Vss PF.0 CS DI DAT CLK PE.7 PD.4 PD.5 PB.0. CAN-054, Utilización de tarjetas MMC en bajo nivel Nota de Aplicación: CAN-054 Título: Utilización de tarjetas MMC en bajo nivel Autor: Sergio R. Caprile, Senior Engineer, colaboración IT&T (distr. Cika en Mendoza) Revisiones Fecha Comentarios 0 02/09/06

Más detalles

Sensor de Temperatura utilizando el Starter Kit Javelin Stamp. Realizado por: Bertha Palomeque A. Rodrigo Barzola J.

Sensor de Temperatura utilizando el Starter Kit Javelin Stamp. Realizado por: Bertha Palomeque A. Rodrigo Barzola J. Sensor de Temperatura utilizando el Starter Kit Javelin Stamp Realizado por: Bertha Palomeque A. Rodrigo Barzola J. INTRODUCCION DIFERENCIAS EJEMPLOS JAVA Orientado a Objetos Multiplataforma Programar

Más detalles

Los bloques DLL (Figura A.1) externos permiten al usuario escribir su propio código y

Los bloques DLL (Figura A.1) externos permiten al usuario escribir su propio código y Apéndice A Bloques DLL Los bloques DLL (Figura A.1) externos permiten al usuario escribir su propio código y programarlo en lenguaje C, compilarlo dentro de un archivo DLL usando el Microsoft C/C++ o el

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

FUNCIONES DE UNA COMPUTADORA

FUNCIONES DE UNA COMPUTADORA FUNCIONES DE UNA COMPUTADORA Vamos ahora a profundizar un poco más en el esquema básico expuesto anteriormente, dando algunos detalles sobre cada una de las funciones llevadas a cabo por el ordenador:

Más detalles

APENDICE CODIFICACION DE LAS ESTRUCTURAS BASICAS

APENDICE CODIFICACION DE LAS ESTRUCTURAS BASICAS i J1~' T"_ APENDICE CODIFICACION DE LAS ESTRUCTURAS AS ALGOL C PL/I APENDICE. CODIFICACION DE LAS ESTRUCTURAS AS 231 ESTRUcrURAIF Ejemplo: EVALUATE L1BRERIA,CLlENTE,TITULO WHEN 1 THRU 5,1 THRU 20,ANY PERFORM

Más detalles

Introducción. Módulo comparador MÓDULOS. Puertos desconectados. Puertas con más de dos entradas

Introducción. Módulo comparador MÓDULOS. Puertos desconectados. Puertas con más de dos entradas Introducción Módulo comparador MÓDULOS Puertos desconectados Puertas con más de dos entradas INTRODUCCIÓN l l El diseño modular es un recurso muy usado en informática Consiste en dividir el problema en

Más detalles

Dispositivos de memoria (Parte #2)

Dispositivos de memoria (Parte #2) Departamento de Electrónica Electrónica Digital Dispositivos de memoria (Parte #2) Bioingeniería Facultad de Ingeniería - UNER Clasificación RWM Read-Write Memories ROM Read Only Memories NVRWM Non Volatile

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

1. Proponer un circuito que tenga el puerto b como salida conectando 8 leds, realizando un programa que me encienda los bits 0, 1, 3, 5, 7.

1. Proponer un circuito que tenga el puerto b como salida conectando 8 leds, realizando un programa que me encienda los bits 0, 1, 3, 5, 7. 1. Proponer un circuito que tenga el puerto b como salida conectando 8 leds, realizando un programa que me encienda los bits 0, 1, 3, 5, 7. a. Diagrama de flujo b. Circuito C1 4 MHZ C2 R2 10k 16 15 4 R1

Más detalles

MODBUS TCP. 1. Especificaciones 2. Códigos de función 3. Respuesta de error 4. Contadores de estado 5. Programa PLC y ejemplos CS1W-ETN21 CJ1W-ETN21

MODBUS TCP. 1. Especificaciones 2. Códigos de función 3. Respuesta de error 4. Contadores de estado 5. Programa PLC y ejemplos CS1W-ETN21 CJ1W-ETN21 Guía Rápida MODBUS TCP CS1W-ETN21 CJ1W-ETN21 Guía Rápida 1. Especificaciones 2. Códigos de función 3. de error 4. Contadores de estado 5. Programa PLC y ejemplos 1. Especificaciones 1.1 Lista de comandos.

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles