PIC 18F45XX EL CONTROL DEL LCD

Tamaño: px
Comenzar la demostración a partir de la página:

Download "PIC 18F45XX EL CONTROL DEL LCD"

Transcripción

1 PIC 18F45XX EL CONTROL DEL LCD

2 1. Driver <xlcd.h> Microchip proporciona un driver <xlcd.h> que contine todas las funciones para controlar un LCD genérico con controlador Hitachi HD El archivo se encuentra situado en: c:\archivos de programa\microchip\mplabc18\3.40\h El driver necesita que las siguientes funciones de retardo estén declaradas y programadas correctamente: * DelayFor18TCY() * DelayPORXLCD() * DelayXLCD() 1Tcy=4/Fosc, para Xtal de 20Mhz 1Tcy=0.2us 2

3 2. Funciones de retardo FUNCIONES DE RETARDO OBLIGATORIAS PARA PROGRAMAR EL LCD. Retardos calculados para Xtal=20Mhz void DelayFor18TCY(void) Delay10TCYx(3); // Retardo de al menos 18 TCy void DelayXLCD(void) Delay1KTCYx(30); // Retardo de al menos 5ms void DelayPORXLCD(void) Delay1KTCYx(90); // Retardo de al menos 15ms 3

4 4. Funciones básicas de control (I) void OpenXLCD(unsigned char lcdtype); Sirve para configurar el tipo de LCD y el tamaño de la matriz de puntos, generalmente 5x7. Es la primera función a ejecutar para poder utilizar el LCD. OpenXLCD(FOUR_BIT & LINES_5X7); unsigned char BusyXLCD(void); Devuelve un cero cuando el lcd está listo para recibir datos. void WriteCmdXLCD(unsigned char cmd); Envía un comando al lcd. Los comandos básicos son: 0b b b b b b b b // Display on // Display off // Cursor on // Cursor off // Cursor Blink // Cursor No Blink // Borrar lcd // Cambio de línea 4

5 4. Funciones básicas de control (II) void SetCGRamAddr(unsigned char Cgaddr); Selecciona el generador de caracteres. Los datos se guardan en la CGRam. void SetDDRamAddr(unsigned char Ddaddr); Selecciona la ram de datos. Los datos se muestran en el lcd. void WriteDataXLCD(char data); Envía un byte de datos al lcd (DDRam o CGRam en función de la selección). void putsxlcd(char *buffer); Envía una cadena de caracteres void putrsxlcd(const rom char *buffer); Envía una cadena de caracteres en rom 5

6 5. Funciones de usuario (I) Además de las funciones básicas que proporciona el driver podemos añadir nuevas funciones de control personalizadas. void lcd_init(void) // Configura el lcd y lo prepara para recibir datos/comandos OpenXLCD(FOUR_BIT & LINES_5X7); // Interface 4Bits con matriz 5x7 ptos. // Esperar lcd=listo WriteCmdXLCD(0x01); // Clear display // Esperar lcd=listo WriteCmdXLCD(DON&CURSOR_OFF&BLINK_OFF); // Esperar lcd=listo WriteCmdXLCD(0x02); // Comando home Delay10KTCYx(1); // Retardo de seguridad void borrar_lcd(void) // Borra el contenido del lcd // Esperar lcd=listolcd busy WriteCmdXLCD(0x01); // Borrar lcd 6

7 5. Funciones de usuario (II) void cambio_linea(void) // Situa cursor en pos cero de la línea 2 WriteCmdXLCD(0xC0); void gotoxy(unsigned char col, unsigned char fil) // Situa cursor en x,y if(fil == 0) WriteCmdXLCD(0x80 col); // Cursor en linea 1 else WriteCmdXLCD(0xC0 col); // Cursor en linea 2 7

8 6. Funciones de usuario (III) void escribe_caracter(char car) // Envía un carácter WriteDataXLCD(car); void escribe_digito(unsigned char dato8bits) // Muestra un dígito 0-9 char tabla[10]='0','1','2','3','4','5','6','7','8','9'; escribe_caracter(tabla[dato8bits]); void escribe_entero(int valor_entero) // Muestra un número entero char txt_int[16]; itoa(valor_entero,txt_int); // Convertir int a cadena putsxlcd(txt_int); // Mostrar cadena en lcd 8

9 7. Creación de un carácter especial (I) El lcd puede mostrar en pantalla cualquiera de los caracteres de la siguiente tabla: 9

10 7. Creación de un carácter especial (II) Para mostrar en el lcd el carácter 'H' (código 0x48) podemos usar la función: WriteDataXLCD(0x48); o bien WriteDataXLCD('H'); Pero el lcd dispone de 16 posiciones de memoria interna para el generador de caracteres (CGRAM). Para generar un carácter especial hay que enviar al lcd el comando que permite escribir en el generador de caracteres (comando 0x40). Cada carácter ocupa 8 posiciones de memoria, una por cada línea según la tabla siguiente: CGRAM Inicio<>Fin ==================== 0x00 0x40<>0x47 0x01 0x48<>0x4F 0x02 0x50<>0x57 0x03 0x58<>0x5F 0x0F 0xB8<>0xBF 10

11 7. Creación de un carácter especial (III) Para crear un carácter de una cara sonriente en la posición 0x00 hay que escribir en la cgram los 8 datos que componen el carácter según se indica en la figura: La función anterior carga el carácter en la cgram pero para poder verlo en el lcd hay que usar la función: WriteDataXLCD(0); 11

12 8. Ejemplo de programación Realizar un programa que muestre en el LCD el texto I.E.S Luis de Lucena. Al pulsar RA3 el texto debe cambiar a D.P.E Curso 2012/2013 durante dos seguntos y volver a mostrar el mensaje inicial. RA3 => 12

13 Solución (1/2) 13

14 Solución (2/2) 14

15 Simulación 15

Pantallas de Cristal Líquido

Pantallas de Cristal Líquido Pantallas de Cristal Líquido A diferencia de los display de 7 segmentos, las pantallas LCD tienen la capacidad de mostrar caracteres alfanuméricos. Consta de una matriz de caracteres (5X7 puntos) distribuidos

Más detalles

LCDsalfanuméricos. La mayoría están basados en el controlador Hitachi HD44780

LCDsalfanuméricos. La mayoría están basados en el controlador Hitachi HD44780 LCDsalfanuméricos La mayoría están basados en el controlador Hitachi HD4478 Máximo 2 líneas de 4 caracteres Algunas pantallas están «plegadas» y tienen 4 líneas de 2 caracteres El controlador sólo tiene

Más detalles

2. PANTALLA ALFANUMÉRICA DE LCD (HITACHI HD44780U)

2. PANTALLA ALFANUMÉRICA DE LCD (HITACHI HD44780U) 2. PANTALLA ALFANUMÉRICA DE LCD (HITACHI HD44780U) Este controlador de la casa Hitachi se usa para mostrar caracteres alfanuméricos en paneles LCD de matriz pasiva (los típicos que se pueden encontrar

Más detalles

Periféricos Básicos Visualizador LCD

Periféricos Básicos Visualizador LCD Periféricos Básicos Visualizador LCD Es frecuente la necesidad de mostrar mensajes que tienen que ver con el estado de algo o el valor de un instrumento de medida electrónico. Para estos casos la utilización

Más detalles

Control de un módulo LCD a través del bus I2C

Control de un módulo LCD a través del bus I2C Control de un módulo LCD a través del bus I2C Requerimientos hardware Placa basada en microcontrolador pic 16F877x (Plataforma Monibot) Módulo lcd por I2C modelo LCD03 Cable de comunicación de 4 hilos

Más detalles

PIC 18F45XX EL TIMER 0

PIC 18F45XX EL TIMER 0 PIC 18F45XX EL TIMER 0 1. Hardware asociado 2. Características Se puede configurar como temporizador o contador de 8/16 bits. Se puede leer o escribir en él a través del registro TMR0. Dispone de un preescaler

Más detalles

Modulo LCD MODULO LCD

Modulo LCD MODULO LCD MODULO LCD Las pantallas de cristal líquido LCD o display LCD (Liquid Cristal Display) consta de una matriz de caracteres (normalmente de 5x7 o 5x8 puntos) distribuidos en una, dos, tres o cuatro líneas

Más detalles

Práctica 6. Control de LCD 16x2 con PIC 16F84A.

Práctica 6. Control de LCD 16x2 con PIC 16F84A. Práctica 6 Control de LCD 16x2 con PIC 16F84A. Eduardo V. Abad Descripción de las características y funcionamiento del LCD 44780 Las pantallas de cristal líquido (LCD) se han popularizado mucho en los

Más detalles

PROYECTOS EN VHDL PARA CONTROL DE MONITOR VGA DESDE UNA FPGA

PROYECTOS EN VHDL PARA CONTROL DE MONITOR VGA DESDE UNA FPGA PROYECTOS EN VHDL PARA CONTROL DE MONITOR VGA DESDE UNA FPGA Sergio Noriega 2017 TABLA DE CÓDIGOS ASCII Internacionalmente se estandarizó un código para para la representación de caracteres visibles para

Más detalles

PANTALLAS DE CRISTAL LÍQUIDO (LCD)

PANTALLAS DE CRISTAL LÍQUIDO (LCD) VISUALIZADORES DE INFORMACIÓN PARA SISTEMAS BASADOS EN MICROCONTROLADORES: PANTALLAS DE CRISTAL LÍQUIDO (LCD) 1 NOCIONES BÁSICAS: Qué son los cristales líquidos? Son sustancias que presentan características

Más detalles

UNIDAD 6 PANTALLAS LCD (LIQUID-CRYSTAL DISPLAY)

UNIDAD 6 PANTALLAS LCD (LIQUID-CRYSTAL DISPLAY) UNIDAD 6 PANTALLAS LCD (LIQUID-CRYSTAL DISPLAY) Objetivo y Programa de la Unidad 6 Objetivo de la presentación En esta Unidad vamos a hablar de la pantalla LCD como periférico de salida: te va a permitir

Más detalles

DISPLAYS DE CRISTAL LÍQUIDO (LCD) PARA CARACTERES

DISPLAYS DE CRISTAL LÍQUIDO (LCD) PARA CARACTERES VISUALIZADORES DE INFORMACIÓN EN SISTEMAS BASADOS EN MICROCONTROLADORES: DISPLAYS DE CRISTAL LÍQUIDO (LCD) PARA CARACTERES 1 NOCIONES BÁSICAS: Qué son los cristales líquidos? Son sustancias que presentan

Más detalles

Fuera del intervalo de programación ON no es posible accionar el evento asociado. Activa el reloj el día de la semana indicado

Fuera del intervalo de programación ON no es posible accionar el evento asociado. Activa el reloj el día de la semana indicado MODULO DE TEMPORIZADORES 1 El módulo de temporizadores es una interface de usuario que permite modificar la fecha y hora actuales y programar, modificar y borrar cualquiera de los 128 temporizadores (relojes)

Más detalles

Centro Universitario de Ciencias Exactas e Ingenierías DIVISION DE ELECTRONICA Y COMPUTACION

Centro Universitario de Ciencias Exactas e Ingenierías DIVISION DE ELECTRONICA Y COMPUTACION SISTEMA DE SEGURIDAD PARA CASA HABITACION González Padilla Carlos Efraín, Hernández García Edgar Aureliano, López Seda Celia Cristina, Vázquez Domínguez Armando. e-mail: legardenfx@hotmail.com, autenticotequila@hotmail.com,

Más detalles

Microprocesadores. Introducción al Lenguaje C - Parte IV. Prof. Luis Araujo. Universidad de Los Andes

Microprocesadores. Introducción al Lenguaje C - Parte IV. Prof. Luis Araujo. Universidad de Los Andes Introducción al Lenguaje C - Parte IV Universidad de Los Andes Funciones Las funciones son porciones de código que devuelven un valor y permiten descomponer el programa en módulos que se llaman entre ellos

Más detalles

PROFESOR: Práctica 6. LDC y Teclado Matricial

PROFESOR: Práctica 6. LDC y Teclado Matricial INSTITUTO POLITÉCNICO NACIONAL Centro de Estudios Científicos y Tecnológicos Nº 1 Gonzalo Vázquez Vela Academia de Sistemas Digitales Prácticas de Micro Electrónica Programable NOMBRE DEL ALUMNO: Apellido

Más detalles

PIC 16F87X. Juan González. Escuela Politécnica Superior Universidad Autónoma de Madrid. Flir Networked Systems. Flir Networked Systems

PIC 16F87X. Juan González. Escuela Politécnica Superior Universidad Autónoma de Madrid. Flir Networked Systems. Flir Networked Systems PIC 16F87X Juan González Escuela Politécnica Superior Universidad Autónoma de Madrid Andrés Prieto-Moreno Flir Networked Systems Curso de microcontroladores PIC. Semana del 25-29 Mayo 2009. Ricardo Gómez

Más detalles

Práctica 5. Diseño de una Cerradura Electrónica.

Práctica 5. Diseño de una Cerradura Electrónica. Pág.: 1 Práctica 5. Diseño de una Cerradura Electrónica. Guillermo Carpintero del Barrio Susana Patón Álvarez Esta práctica plantea el desarrollo de una aplicación, de forma que puedas orientar tu trabajo

Más detalles

copy data Guía rápida del usuario Versión 1.2

copy data Guía rápida del usuario Versión 1.2 copy data Guía rápida del usuario Versión 1.2 Contenido Requisitos para la instalación... 2 Descarga del programa... 2 Instalación del programa... 3 Configuración Inicial... 5 Registro en el servicio...

Más detalles

Nelson David Muñoz Politécnico CJIC TUTORIAL DISPLAYS

Nelson David Muñoz Politécnico CJIC  TUTORIAL DISPLAYS 1 TUTORIAL DISPLAYS OBJETIVOS Familiarizarse con el manejo de displays de 7 segmentos por medio de microcontroladores. Crear y manipular variables y vectores de constantes. Realizar la implementación física

Más detalles

Universidad Tecnológica Nacional Departamento De Electrónica Facultad Regional Buenos Aires. Informática II GUIA DE TRABAJOS PRACTICOS

Universidad Tecnológica Nacional Departamento De Electrónica Facultad Regional Buenos Aires. Informática II GUIA DE TRABAJOS PRACTICOS TP N 7: ENTRADAS /SALIDAS AVANZADAS DRIVERS Ejercicio 1.7 Realice una función que devuelva el código generado por teclado teclado matricial 4 x 1 de la figura sin, tener en cuenta la eliminación del rebote.

Más detalles

Display de Cristal Líquido de Cuarzo

Display de Cristal Líquido de Cuarzo Display de Cristal Líquido de Cuarzo Un LCD ó Display de Cristal Líquido de Cuarzo es una pantalla en la que puede desplegar información mediante la polarización de cuarzo líquido. Los LCDs pueden ser

Más detalles

Proyecto Final: Controlador de Acuarios

Proyecto Final: Controlador de Acuarios Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura Escuela de Ingeniería Electrónica Informática Electrónica Proyecto Final: Controlador de Acuarios Cristian Martinez

Más detalles

Capítulo 4 Interfase Humana

Capítulo 4 Interfase Humana Capítulo 4 Interfase Humana Para poder interactuar con cualquier máquina con la cual se trabaje se necesita una interfase, a través de la cual el usuario puede saber cual es el status actual de la máquina

Más detalles

El módulo LCD Ejemplos de funcionamiento

El módulo LCD Ejemplos de funcionamiento SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS PRACTICAS DE MICROCONTROLADORES PIC PRÁCTICA 7: El módulo LCD El módulo LCD Ejemplos de funcionamiento - 1 - 1. Objetivos: - Conocer el funcionamiento y programación

Más detalles

GESTION DE UN LCD USANDO CCS COMPILER (PICC)

GESTION DE UN LCD USANDO CCS COMPILER (PICC) GESTION DE UN LCD USANDO CCS COMPILER (PICC) La mayoría de displays de cristal líquido se usan con controlador del tipo HD44780, con un número de líneas variable y una cantidad de caracteres también variable,

Más detalles

Segundo Parcial Programación en Mikro C PIC16F877

Segundo Parcial Programación en Mikro C PIC16F877 Segundo Parcial Programación en Mikro C para microcontroladores PIC PIC16F877 Operadores a nivel de bits Operador operacion & AND; compara pares de bits y regresa 1 si ambos son 1 s, de otra manera regresa

Más detalles

Facultad de Informática Universidad Euskal Herriko del País Vasco Unibertsitatea Arquitectura de Computadores I Guión de los laboratorios de E/S 1

Facultad de Informática Universidad Euskal Herriko del País Vasco Unibertsitatea Arquitectura de Computadores I Guión de los laboratorios de E/S 1 Arquitectura de Computadores I Guión de los laboratorios de E/S 1 Con el objetivo de afianzar los conceptos sobre entrada/salida estudiados en este tema, se proponen una serie de prácticas de laboratorio

Más detalles

Universidad Tecnológica Nacional Departamento De Electrónica Facultad Regional Buenos Aires. Informática II GUIA DE TRABAJOS PRACTICOS

Universidad Tecnológica Nacional Departamento De Electrónica Facultad Regional Buenos Aires. Informática II GUIA DE TRABAJOS PRACTICOS TP N 9: SERIALIZACIÓN y COMUNICACIÓN SERIE Ejercicio 9.1 Realizar una función que sea capaz de sacar el contenido de un byte por el puerto P0.1 bit a bit, cuya duración de bit sea la mínima que proporcione

Más detalles

Práctica 3: Realce de imágenes

Práctica 3: Realce de imágenes Prácticas de laboratorio Práctica 3: Realce de imágenes 1. DESCRIPCIÓN Y REALIZACIÓN DEL PROBLEMA Se trata de desarrollar un conjunto sencillo de algoritmos en C para ejecutar operaciones de REALCE por

Más detalles

Nota: Los siguientes ejercicios se refieren a programas ANSI-C modularizados/modularizables.

Nota: Los siguientes ejercicios se refieren a programas ANSI-C modularizados/modularizables. Algoritmos y Programación I - 75.02 Guía de Ejercicios Nº 4 Programas lineales - Operadores de Bits Nota: Los siguientes ejercicios se refieren a programas ANSI-C modularizados/modularizables. 1) Calcular

Más detalles

Capítulo No. 07 Pantalla de Caracteres LCD

Capítulo No. 07 Pantalla de Caracteres LCD Capítulo No. 07 Pantalla de Caracteres LCD Sistema de Desarrollo para MC, modelo MDK-B28-150 - Introducción. En capítulos anteriores, se han utilizado como dispositivos de visualización los diodos LED

Más detalles

+5V 8K2 1K5. Arduino. Display LCD

+5V 8K2 1K5. Arduino. Display LCD DISPLAY LCD Un display LCD (Liquid Cristal Display) es una pequeña pantalla para mostrar caracteres a través de una matriz de puntos luminosos. Estos displays tienen unos pines para conectarlos a un microcontrolador,

Más detalles

3. Bus GPIB Estándar IEEE (GPIB).

3. Bus GPIB Estándar IEEE (GPIB). 3. Bus GPIB. GPIB es un estándar de conexión que permite la comunicación de un ordenador con instrumentos electrónicos de medida, como pueden ser generadores de funciones, osciloscopios, etc. 3.1. Estándar

Más detalles

MICROCONTROLADORES. 1. El PIC 16F84A es un microcontrolador de: a) 16 bits b) 8 bits c) 4 bits d) 32 bits e) 64 bits

MICROCONTROLADORES. 1. El PIC 16F84A es un microcontrolador de: a) 16 bits b) 8 bits c) 4 bits d) 32 bits e) 64 bits 1. El PIC 16F84A es un microcontrolador de: a) 16 bits b) 8 bits c) 4 bits d) 32 bits e) 64 bits MICROCONTROLADORES 2. La memoria de programa del PIC 16F84A es de tipo: a) ROM b) OTP c) RAM d) EPROM e)

Más detalles

(Scaras)

(Scaras) Guía Rápida RCX240 (Scaras) Índice de contenidos 1. Descripción del terminal RPB-E 2. Pantalla del terminal RPB-E 3. Teclado y Bloques de teclas del terminal RPB-E 4. Parada de emergencia 5. Reset de

Más detalles

Botones de comando. Botones de comando. 1. Seleccionar el botón de comando y dimensionar sobre la pantalla.

Botones de comando. Botones de comando. 1. Seleccionar el botón de comando y dimensionar sobre la pantalla. Botones de comando Botones con posibilidad de ejecutar diferentes funciones. Cambio de pantalla Botón de tecla Control de página emergente Mostrar menú de sistema Parar zumbador Ninguno Control de video

Más detalles

Laboratorio de Arquitectura de Redes. Entrada y salida estándar

Laboratorio de Arquitectura de Redes. Entrada y salida estándar Laboratorio de Arquitectura de Redes Entrada y salida estándar Entrada y salida estándar Entradas y salidas Salida con formato: printf() Entrada de datos con formato: scanf() El buffer de teclado Entrada

Más detalles

SIEMENS. Conexión de un operador a LOGO! 8 (WinCC Basic V11) Problema. Los cambios en el LOGO! programa

SIEMENS. Conexión de un operador a LOGO! 8 (WinCC Basic V11) Problema. Los cambios en el LOGO! programa Conexión de un operador a LOGO! 8 (WinCC Basic V11) Problema Un programa que se utiliza para controlar un nivel de llenado, una temperatura y se dirige a una bomba que se ejecuta actualmente en LOGO! 0BA7,

Más detalles

PIC 18F45XX. El sensor de distancias (GP2D120)

PIC 18F45XX. El sensor de distancias (GP2D120) PIC 18F45XX El sensor de distancias (GP2D120) 1. Características generales Salida analógica (Vo) Alimentación a +5v Rango efectivo de medida de 4 a 40 cm Tiempo típico de respuesta 39ms Retardo típico

Más detalles

PRACTICA Nº Realizar una aplicación que calcule el volumen de una esfera, que viene dado por la fórmula:

PRACTICA Nº Realizar una aplicación que calcule el volumen de una esfera, que viene dado por la fórmula: PROGRAMACION III SIS - 221 PRACTICA Nº 1 1. Realizar una aplicación que calcule el volumen de una esfera, que viene dado por la fórmula: 2. Realizar una aplicación que pregunte el nombre y el año de nacimiento

Más detalles

Examen Junio- Grupo B Lunes 17 de Junio - Programación en C++ Pág. 1

Examen Junio- Grupo B Lunes 17 de Junio - Programación en C++ Pág. 1 Examen Junio- Grupo B Lunes 17 de Junio - Programación en C++ Pág. 1 ÍNDICE ÍNDICE... 1 1.1 Ejercicio 1: Empresa Videojuegos (3.5 ptos.)... 1 1.2 Ejercicio 2: Clase Rectangulo (1.0 pto.)... 3 1.3 Ejercicio

Más detalles

Examen de Fundamentos de Computadores y Lenguajes

Examen de Fundamentos de Computadores y Lenguajes Examen de Fundamentos de Computadores y Lenguajes Cuestiones (5 cuestiones, 5 puntos en total) Examen Parcial. Febrero 2003 1) Escribir un fragmento de programa Java que, utilizando la instrucción switch,

Más detalles

MODULO LCD MODULO LCD. Pág.1

MODULO LCD MODULO LCD.  Pág.1 MODULO LCD www.teleline.terra.es/personal/fremiro Pág.1 INTRODUCCIÓN MODULO LCD Las siguientes páginas intentan dar una breve descripción del funcionamiento del módulo LCD incluido en el sistema de evaluación

Más detalles

ESTRUCTURA DE COMPUTADORES I (Capítulo 16:El Teclado) 1/5 16-EL TECLADO

ESTRUCTURA DE COMPUTADORES I (Capítulo 16:El Teclado) 1/5 16-EL TECLADO ESTRUCTURA DE COMPUTADORES I (Capítulo 16:El Teclado) 1/5 16-EL TECLADO 16.1 INTRODUCCIÓN: El teclado se comunica con la BIOS a través de puertos de comunicación controlados por las interrupciones de la

Más detalles

Tema 4. Control de flujo. Programación Programación - Tema 4: Control de Flujo

Tema 4. Control de flujo. Programación Programación - Tema 4: Control de Flujo Tema 4 Control de flujo Programación 2015-2016 Programación - Tema 4: Control de Flujo 1 Tema 4. Control de flujo Introducción. Estructuras condicionales. Estructuras de repetición. Programación - Tema

Más detalles

MANUAL DE USUARIO Serial LCD Backpack V2 MCI-MA-0150 REV. A

MANUAL DE USUARIO Serial LCD Backpack V2 MCI-MA-0150 REV. A MANUAL DE USUARIO Serial LCD Backpack V2 MCI-MA-0150 REV. A Ingeniería MCI Ltda. Luis Thayer Ojeda 0115 of. 1105, Providencia, Santiago, Chile. MANUAL DE USUARIO SERIAL LCD BACKPACK V2 Página 2 de 9 Ingeniería

Más detalles

UD7.5. MÓDULOS RF LORA

UD7.5. MÓDULOS RF LORA UD7.5. MÓDULOS RF LORA OBJETIVOS OBJETIVOS: Comprender el funcionamiento de estos nuevos módulos de RF de mayor alcance con menor potencia. Adaptar cualquiera de nuestras aplicaciones de RF para obtener

Más detalles

Controladores gráficos DS241x00. Manual de programación

Controladores gráficos DS241x00. Manual de programación Controladores gráficos DS241x00 Índice 1 DESCRIPCIÓN GENERAL... 3 2 GUÍA RÁPIDA DE PROGRAMACIÓN... 4 2.1 PANEL DE HERRAMIENTAS... 5 2.2 ABRIR Y GUARDAR PROYECTOS... 5 2.3 AÑADIR PLANOS AL PROYECTO... 6

Más detalles

EJERCICIO 1 (2 puntos, 30 minutos):

EJERCICIO 1 (2 puntos, 30 minutos): APELLIDOS NOMBRE NIA EJERCICIO 1 (2 puntos, 30 minutos): Diseñe un microprocesador de arquitectura Von Neumann, con una memoria de 8 bits x 64K, indicando: a) Tamaño del bus de datos: bits y del bus de

Más detalles

Automatización de una Célula de. Fabricación Flexible:

Automatización de una Célula de. Fabricación Flexible: Anexo 2 Automatización de una Célula de Fabricación Flexible: Anexo 2 Configuración en Modo Caracteres Proyectando: Director: Miguel Ángel Montañés Laborda Ramón Piedrafita Moreno Departamento de Informática

Más detalles

LCD ING. DIEGO CHACON MDHD

LCD ING. DIEGO CHACON MDHD LCD ING. DIEGO CHACON MDHD LCD: Display de Cristal Líquido (Liquid Crystal Display) Los Display LCD son visualizadores pasivos, esto significa que no emiten luz como el visualizador o display alfanumérico

Más detalles

La siguiente gráfica muestra cada uno de los ítems que podemos encontrar en la interfaz gráfica COM.

La siguiente gráfica muestra cada uno de los ítems que podemos encontrar en la interfaz gráfica COM. NXA XCON.COM Contents COM INTERFACE.... 3 Descripción de los ítems en interfaz gráfica Xcon.... 3 PARÁMETROS DE COMUNICACIÓN... 3 OUT... 3 IN... 3 ENABLE... 4 CONNECTED... 4 LAST ERROR... 4 CONFIGURACIÓN...

Más detalles

UNIDAD 2 Descripción de un programa

UNIDAD 2 Descripción de un programa Descripción de un programa Estructura general de un programa. Elementos básicos. Tipos de datos simples: enteros, reales y carácter. Representación de datos en memoria. Operaciones sobre tipos de datos

Más detalles

Display Opcional CP1W-DAM01

Display Opcional CP1W-DAM01 Guía Rápida Display Opcional CP1W-DAM01 1. Características y especificaciones 2. Partes del CP1W-DAM01 3. Operaciones básicas 4. Funciones 5. Dimensiones 6. Solución a problemas Página 1 de15} 1. Características

Más detalles

INTRODUCCIÓN USANDO EL SIMULADOR

INTRODUCCIÓN USANDO EL SIMULADOR INTRODUCCIÓN El programa es un simulador de un divisor salva-arrastre de 4 bits. Los operandos del divisor son números positivos. El dividendo tiene la forma N O.N 1 N 2 N 3 N 4 N 5 N 6, y el divisor D

Más detalles

Cómo gobernar un display LCD alfanumérico basado en el controlador HD44780

Cómo gobernar un display LCD alfanumérico basado en el controlador HD44780 Cómo gobernar un display LCD alfanumérico basado en el controlador HD44780 Descarga desde: http://eii.unex.es/profesores/jisuarez/descargas/ip/lcd_alfa.pdf José Ignacio Suárez Marcelo Universidad de Extremadura

Más detalles

GUIA DE EJERCICIOS Nº 3 INSTRUMENTACIÓN AVANZADA

GUIA DE EJERCICIOS Nº 3 INSTRUMENTACIÓN AVANZADA GUIA DE EJERCICIOS Nº 3 INSTRUMENTACIÓN AVANZADA Comunicando LabVIEW a una placa ARDUINO 1 3.-COMUNICANDO LABVIEW A UNA PLACA ARDUINO El objetivo de esta guía es programar la placa ARDUINO para que se

Más detalles

5. Sentencias selectivas o condicionales

5. Sentencias selectivas o condicionales 60 A. García-Beltrán y J.M. Arranz 5. Sentencias selectivas o condicionales Objetivos: a) Describir el funcionamiento de las sentencias selectivas o condicionales (if-else y switch) b) Interpretar el resultado

Más detalles

Movistar Imagenio Recarga de Móviles MANUAL DE USUARIO

Movistar Imagenio Recarga de Móviles MANUAL DE USUARIO Recarga de Móviles MANUAL DE USUARIO Versión: 2 Publicación: abril 2010 Este manual de servicio es propiedad de Telefónica de España SAU, queda terminante prohibida la manipulación total o parcial de su

Más detalles

ctiva Guía del alumno Moodle v.2.8 e-learning

ctiva Guía del alumno Moodle v.2.8 e-learning ctiva e-learning Moodle v.2.8 Contenidos 1 Introducción... 1 2 Acceso a la plataforma... 2 2.1 Acceso al contenido de un curso... 4 3 Menús... 5 3.1 Menú horizontal... 5 3.2 Menús laterales... 6 3.2.1

Más detalles

Introducción rápida a la programación (estructurada ) con C++

Introducción rápida a la programación (estructurada ) con C++ Introducción rápida a la programación (estructurada ) con C++ A B No A? Si B A Los Bloques pueden ser una línea de código o un modulo (función o subrutina) que pueden estar contenido en otro archivo de

Más detalles

Pulsador radiofrecuencia 15 canales

Pulsador radiofrecuencia 15 canales Pulsador radiofrecuencia 15 canales TABLA DE CONTENIDO. Advertencias: 2 Descripción del producto. 2 Características técnicas. 2 Funcionamiento. 3 Sustitución de la batería del pulsador. 4 Canal 0. 5 Programación.

Más detalles

Práctica 5MODBUS: Bus Modbus

Práctica 5MODBUS: Bus Modbus Práctica 5MODBUS: Bus Modbus 1 Objetivos El objetivo de esta práctica es la utilización y la programación de una red Modbus. El alumno debe ser capaz de: Diferenciar los tres niveles fundamentales de la

Más detalles

AR 9 T. Tutorial 9: Display LCD

AR 9 T. Tutorial 9: Display LCD 9 T Tutorial 9: Display LCD En este tutorial, se va a mostrar cómo conectar una pantalla LCD 1602A a una placa Arduino UNO para mostrar texto por pantalla. Material 1 Arduino uno 1 cable AB 1 Protoboard

Más detalles

Informática PRÀCTICA 3 Curs Práctica Nº 3: Tipos de datos simples. Constantes y variables. Operadores aritméticos. Formato de salida.

Informática PRÀCTICA 3 Curs Práctica Nº 3: Tipos de datos simples. Constantes y variables. Operadores aritméticos. Formato de salida. Práctica Nº 3: Tipos de datos simples. Constantes y variables. Operadores aritméticos. Formato de salida. Objetivos de la práctica: - Mostrar la sintaxis de los diferentes tipos de datos presentes en C/C++.

Más detalles

Interprete comandos de Windows.

Interprete comandos de Windows. Interprete comandos de Windows. Para abrir el intérprete de comandos de Windows podemos utilizar tres caminos: 1.- Inicio > Todos los programas > Accesorios > Símbolo del sistema 2.- Inicio > Ejecutar

Más detalles

Programación: S-5/8 1 S 58

Programación: S-5/8 1 S 58 Programación: S-5/8 1 S 58 Programación: S-5/8 2 1. MÉTODOS DE PROGRAMACIÓN 1.1. DEFINICIONES Estado de programación: cuando la máquina está en disposición de programar alguna de sus funciones de programación.

Más detalles

Datos Elementales y formato de un programa en Java

Datos Elementales y formato de un programa en Java Datos Elementales y formato de un programa en Java PROGRAMACION I Grado en Matematicas Informática Programación I - 2015/2016 Datos, variables y mi primer programa 1 Introducción a la programación o Programa:

Más detalles

API Mnemónico Operandos Función. Controladores. 100 MODRD Leer datos de Modbus

API Mnemónico Operandos Función. Controladores. 100 MODRD Leer datos de Modbus Manual de operación de DVP-ES2/EX2/SS2/SA2/SX2 - Programación API Mnemónico Operandos Función 100 MODRD Leer datos de Modbus Controladores ES2/EX2 SS2 SA2 SX2 PULSE 16 bits 32 bits ES2/EX2 SS2 SA2 SX2

Más detalles

ENTRADA/SALIDA. Relación Programa - Sistema Operativo - Hardware

ENTRADA/SALIDA. Relación Programa - Sistema Operativo - Hardware ENTRADA/SALIDA UPCO ICAI Departamento de Electrónica y Automática 1 Relación Programa - Sistema Operativo - Hardware Mi programa no maneja directamente el hardware El sistema operativo es el que maneja

Más detalles

Tema 13: Manejo de archivos en lenguaje C

Tema 13: Manejo de archivos en lenguaje C Tema 13: Manejo de archivos en lenguaje C M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom Estructuras de datos (Prof. Edgardo A. Franco)

Más detalles

Implemente la rutina de interrupción TIEMPO. Suponga que los puertos de e/s definidos anteriormente son constantes ya definidas en el programa.

Implemente la rutina de interrupción TIEMPO. Suponga que los puertos de e/s definidos anteriormente son constantes ya definidas en el programa. 5) (2,5 pto.) Se desea controlar el funcionamiento de un horno microondas utilizando un microprocesador de bajo costo. Para esto, es necesario controlar el programa de cocción, el motor del plato giratorio

Más detalles

Capítulo 1. Práctica: Display alfanumérico Objetivo Especificaciones Para sacar nota

Capítulo 1. Práctica: Display alfanumérico Objetivo Especificaciones Para sacar nota Capítulo Práctica: Display alfanumérico.. Objetivo Vale más saber alguna cosa de todo, que saberlo todo de una sola cosa Blaise Pascal Esta, que podría parecer la primera práctica de todas requiere la

Más detalles

TEMA 2. LENGUAJE C. CONCEPTOS BÁSICOS Y PROGRAMACIÓN ELEMENTAL.

TEMA 2. LENGUAJE C. CONCEPTOS BÁSICOS Y PROGRAMACIÓN ELEMENTAL. Tema 2. TEMA 2. LENGUAJE C. CONCEPTOS BÁSICOS Y PROGRAMACIÓN ELEMENTAL. PARTE : VARIABLES, OPERADORES Y CONSTANTES.. INTRODUCCIÓN AL LENGUAJE C 2. PROGRAMAS BÁSICOS EN LENGUAJE C 3. DATOS EN LENGUAJE C

Más detalles

Examen 5 de Febrero de 2010

Examen 5 de Febrero de 2010 Instrucciones Examen 5 de Febrero de 2010 Indique su nombre completo y número de cédula en cada hoja. Numere todas las hojas e indique la cantidad total de hojas que entrega en la primera. Escriba las

Más detalles

DISPLAY LCD MICROPROCESADORES

DISPLAY LCD MICROPROCESADORES Módulo LCD HD4478 de de Hitachi Módulo LCD HD4478 de de Hitachi E R / W D-D7 D-D7 VDD Vo Vss Controlador Controlador del del LCD LCD HD4478 HD4478 Manejador Manejador Del Del LCD LCD Manejador Manejador

Más detalles

V = 3,4567 V M:4,45V m:0,23v

V = 3,4567 V M:4,45V m:0,23v Práctica 10 Módulo de conversión A/D: voltímetro digital Fecha: 28 de Abril de 2005 Se pretende realizar un programa que se encargue de efectuar la medida continua de una tensión analógica comprendida

Más detalles

Inicio del programa. Entrada de datos. Proceso de datos. Salida de datos. Fin del programa

Inicio del programa. Entrada de datos. Proceso de datos. Salida de datos. Fin del programa PROGRAMACIÓN 10. Prof. Dolores Cuiñas H. Recuerde que estos son apuntes muy simplificados que deberá completar con la bibliografía recomendada APUNTES Nº 4 ESTRUCTURA SECUENCIAL GENERAL La estructura secuencial

Más detalles

El protocolo MODBUS-RTU es un formato de transmisión en serie de datos, utilizado extensamente en las

El protocolo MODBUS-RTU es un formato de transmisión en serie de datos, utilizado extensamente en las SERIE KOSMOS CÓDIGO: 30728405 EDICIÓN: 04.01.2012 MANUAL DE INSTRUCCIONES PROTOCOLO MODBUS-RTU MICRA-E ÍNDICE 1. PROTOCOLO MODBUS-RTU... 3 2. CALCULO DEL CRC... 4 3. TIEMPOS... 4 4. FUNCIONES MODBUS...

Más detalles

Módulo Modbus RTU esclavo

Módulo Modbus RTU esclavo Módulo Modbus RTU esclavo Características: Alimentación 5VDC ±10%. No protegido contra inversión de polaridad. Consumo: 100mA (puede variar según display y contraste del mismo). 1 Salida a relé(corriente

Más detalles

Manual mando elegance 15

Manual mando elegance 15 Manual mando elegance 15 canales TIMER TABLA DE CONTENIDO. Advertencias: 2 Descripción del producto. 3 Características técnicas. 3 Programación y funcionamiento. 4 Mando. 4 Pantalla LCD. 5 Modos de trabajo

Más detalles

INSTALACION DE WINDOWS 98

INSTALACION DE WINDOWS 98 INSTALACION DE WINDOWS 98 Requisitos mínimos de hardware para instalar Windows 98 Entre los requisitos mínimos de hardware se incluyen: Procesador 486DX a 66 MHz o más rápido (se recomienda Pentium) 16

Más detalles

ARREGLOS, PUNTEROS Y ASIGNACIÓN DINÁMICA DE MEMORIA

ARREGLOS, PUNTEROS Y ASIGNACIÓN DINÁMICA DE MEMORIA CAPÍTULO 3 ARREGLOS, PUNTEROS Y ASIGNACIÓN DINÁMICA DE MEMORIA Un arreglo, también llamado matriz (array), es un conjunto de elementos dispuestos secuencialmente, que contienen datos del mismo tipo. El

Más detalles

Laboratorio 8: Controlador VGA

Laboratorio 8: Controlador VGA Objetivos: Laboratorio 8: Controlador VGA Estudiar especificaciones de monitores tipo VGA. Usar la unidad de administración de reloj digital DCM, disponible en la FPGA Spartan 3 de Xilinx, para generación

Más detalles

PBC Durante la reproducción de VCD/SVCD,pulse PBC para seleccionar el modo PBC encendidoo apagado. Programación CD/MP3 1. Ponga un disco de formato MP3/CD. 2. Hay un modo Edit, a la derecha de la lista,

Más detalles

Programación Placas Sistema Teguibus 2 Digital

Programación Placas Sistema Teguibus 2 Digital Programación Placas Sistema Teguibus 2 Digital DESCRIPCIÓN En los sistemas de instalación TEGUIBUS 2 DIGITAL, las placas de calle, tanto de portero como de videoportero, y las conserjerías deben ser configuradas

Más detalles

Programación estructurada (Tipos, operadores y expresiones)

Programación estructurada (Tipos, operadores y expresiones) Programación estructurada (Tipos, operadores y expresiones) M. en C. Sergio Luis Pérez Pérez UAM CUAJIMALPA, MÉXICO, D. F. Trimestre 15-I Sergio Luis Pérez (UAM CUAJIMALPA) Curso de programación estructurada

Más detalles

Módulo LCD HD44780 de de Hitachi

Módulo LCD HD44780 de de Hitachi Módulo LCD HD4478 de de Hitachi y el el µc µc85 Módulo LCD HD4478 de de Hitachi E R / W D-D7 D-D7 VDD Vo Vss Controlador Controlador del del LCD LCD HD4478 HD4478 Manejador Manejador Del Del LCD LCD Manejador

Más detalles

D:\Mis Documentos\TRABAJO DE GRADO PJ\TARIFADOR v2 dspic4013\t6369_glcd.c 12/11/ :18:30

D:\Mis Documentos\TRABAJO DE GRADO PJ\TARIFADOR v2 dspic4013\t6369_glcd.c 12/11/ :18:30 1: // ++++ IDENTIFICADOR DE PRODUCTOS +++++++ 2: /* 3: * Descripción: 4: El presente código corresponde a la aplicación que permite tarifar 5: productos en base a los códigos de barras a través de un lector

Más detalles

TERMINAL DE PROGRAMACION VT-100. PARA CONTROLADORES BD1m y CD1pm

TERMINAL DE PROGRAMACION VT-100. PARA CONTROLADORES BD1m y CD1pm TERMINAL DE PROGRAMACION VT-100 PARA CONTROLADORES BD1m y CD1pm PAGINA 1 I N D I C E CAPITULO 1: GENERALIDADES 3 1.1 : Descripción 3 Pag. CAPITULO 2: CARACTERISTICAS 3 2.1 : Especificaciones técnicas 3

Más detalles

TEMA 7. ARRAYS (LISTAS Y TABLAS).

TEMA 7. ARRAYS (LISTAS Y TABLAS). TEMA 7. ARRAYS (LISTAS Y TABLAS). En capítulos anteriores se han descrito las características de los tipos de datos básicos o simples (carácter, entero y coma flotante). Asimismo, se ha aprendido a definir

Más detalles

Módulo 3: PROGRAMACIONES. Indice general

Módulo 3: PROGRAMACIONES. Indice general Módulo 3: PROGRAMACIONES Indice general 1.- Sistema de control --------------------------------------------------------------- 3 1.1 Máquina en servicio 1.2 Máquina fuera de servicio 2.- Programación modo

Más detalles

Procesos e Hilos en C

Procesos e Hilos en C Procesos e Hilos en C 6 de febrero de 2012 En esta sesión vamos a escribir programas en lenguaje C que utilicen hilos y procesos para comparar el rendimiento del sistema ante la gestión de unos y otros.

Más detalles

Práctica 6. El procesador

Práctica 6. El procesador Práctica 6 El procesador Material: PC y Visual Studio 2013 Duración: 2 horas Lugar: Laboratorios de prácticas (Laboratorio de Redes-Hardware) La herramienta que vamos a utilizar para el desarrollo de las

Más detalles

Sensor Viento-Sol Radio Estándar

Sensor Viento-Sol Radio Estándar TABLA DE CONTENIDO. Advertencias: 2 Reacción del sensor. 2 Descripción del producto. 3 Características técnicas. 3 Esquema de conexión. 4 Funcionamiento 5 Programación del sensor. 6 Añadir mando: 6 Añadir

Más detalles

Objetivos de la sesión. Aplicación de consola 7/30/11. Código con que se inicia un programa en Visual C# (aplicación de consola)

Objetivos de la sesión. Aplicación de consola 7/30/11. Código con que se inicia un programa en Visual C# (aplicación de consola) Objetivos de la sesión Entender el tipo de programas que se pueden realizar con aplicaciones de consola. Conocer el concepto de variable, la forma en que se declaran y su utilización. Conocer la forma

Más detalles

CAPÍTULO 10 LA INTERFAZ PARALELA

CAPÍTULO 10 LA INTERFAZ PARALELA CAPÍTULO 10 LA INTERFAZ PARALELA El PC compatible IBM originalmente permite la conexión de una impresora a través de La tarjeta "adaptadora para pantalla monocromática e impresora" o a través de la tarjeta

Más detalles