Registros. Buses. Memorias.

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Registros. Buses. Memorias."

Transcripción

1 Capítulo 5 egistros. Buses. Memorias. 5.. egistros. Se denomina registro a una serie de flip-flops agrupados y con señales de control común. Se considera que se leerán o escribirán como una unidad. Se muestra a continuación un registro de largo 4, con controles de preset y reset (o clear) asincrónicos, y con reloj común. Se asume que el grupo es disparado por canto de subida. S D Q CP Q _ S D Q CP Q _ clk S D Q CP Q _ eset S D Q CP Q _ Set Figura 5.. egistro de cuatro bits egistro con habilitación de escritura. Un esquema de un registro con habilitación de escritura, dispositivo muy empleado para almacenar variables locales en un procesador, se muestra en la figura 5.2.

2 2 Sistemas Digitales La señal de control Write Enable habilita el registro para escritura. Con el canto de subida del reloj, estando WE =, se escribe (o carga) el registro con los datos de entrada. Cuando WE = 0, el registro conserva su valor (queda en modo hold). Un diseño comercial similar al que se muestra, es el 74LS73, que además tiene salida de tercer estado. La celda básica del registro resulta más simple si el flip-flop es JK, ya que naturalmente éste permanece en modo hold con J=0 y K = 0. Puede anotarse la transferencia como: también suele usarse: WE: Q = D; WE: D Q o bien: WE: Q D. La señal de control que produce la transferencia es WE. D3 Q3 D Q _ CP Q D2 Q2 D Q CP Q D Q Q D CP Q _ D0 Q0 WE D Q CP Q _ clk Figura 5.2. egistro con habilitación de escritura.

3 Capítulo 5. egistros. Camino de datos. Memorias. 3 La señal WE debe estar estable durante el tiempo de setup del registro. En la práctica las señales de control de los registros son generados en una máquina de estados con modelo de Moore. En este caso, se ingresa a un estado con el canto del reloj, y se mantienen las salidas asociadas al estado hasta el próximo canto de subida del reloj. Estado WE= Estado 2 WE=0 Figura 5.3. Estados que generan la señal de control WE. Durante el estado, la máquina de estados habilita la escritura del registro, activando WE. Notar que la señal efectivamente se activa después del canto de subida del reloj, y es sincrónica con el reloj. En el estado, las señales Di se propagan a través de las compuertas y llegan a niveles estables en las entradas de los flip-flops. En el estado 2, se captura la información en el registro y permanecerá hasta que se inicie otro ciclo de escritura en el registro. Nótese que antes y durante el Estado, la salida del registro contiene la información que se haya escrito anteriormente. A partir del estado 2, tiene nueva información. clk WE Habilitación Estado Escritura Estado 2 Figura 5.4. Control del registro con WE.

4 4 Sistemas Digitales Si el registro se hubiera formado con latchs transparentes, habrían salidas nuevas durante el estado. Es decir se puede comenzar a usar la nueva información un ciclo de reloj antes, relativo al caso de registros disparados por cantos. Un registro puede anotarse simbólicamente como se muestra en la Figura 5.5: A la derecha del esquema para el registro, se indica la descripción de la transferencia. Que se interpreta así: Cuando WE esté alto y cuando llegue el canto del reloj, entonces capture en el registro, lo que esté estable en A, tsetup antes del canto del reloj. Suele no indicarse el reloj, en la ecuación TL (egister Transfer Level). WE A WE: = A Figura 5.5. epresentación simbólica de registro con habilitación de escritura. 5.3 Funcionamiento Sincrónico. Transferencias entre egistros. clk Se tienen los siguientes registros: WE WE 2 WE 3 A ed Combinacional. Tc Tm 2. Comb. Tc2 Tm2 3 clk clk clk Figura 5.6. Transferencia entre registros.

5 Capítulo 5. egistros. Camino de datos. Memorias. 5 Consideremos que las redes combinacionales tienen un tiempo mínimo de propagación y un tiempo máximo o crítico, desde que se le aplican entradas hasta que se tienen salidas. El esquema simboliza la capacidad de efectuar acciones u operaciones monádicas de una red combinacional. Se ilustra: 2 = f() y 3 = g(2), con un ingreso de datos simbolizado por la transferencia = A. En el esquema se ilustra la composición de funciones, ya que globalmente puede escribirse: 3 = g(f(a)) equerimientos de tiempo. Se considera el tiempo de variabilidad del reloj, o tiempo de corrimiento (skew), debido a que en dos lugares distintos no puede tenerse la misma señal. Éstas viajan a través de cables y debería asumirse que el reloj que llega al registro 2, puede estar adelantado (clk2a) respecto a clk o atrasado (clk2b) respecto de él, como se muestra en la gráfica a continuación. clk clk2a clk2b Corrimiento clk. ( tskew) Figura 5.7. Corrimientos del reloj (skew). Con: Tf = Tiempo desde el canto de subida de clk hasta tener salidas estables en las salidas de. Tc = tiempo de propagación a través de la ruta crítica en la red combinacional. Es decir, cuando haya tomado nivel estable la señal que se propaga más lentamente a través de la red, a la salida de. Tm = tiempo mínimo de propagación a través de la red combinacional. Es decir, cuando haya tomado nivel estable la señal que se propaga más rápidamente a través de la red que alimenta a 2. Tsu2 = Tiempo de setup-up de los flip-flops del registro 2. Thold2 = Tiempo de sostenimiento de los flip-flops del registro 2. Para que la captura de información sea confiable en el registro 2 debe cumplirse que el período del reloj debe ser mayor que: T(clk2 ) > Tskew + Tf + Tc + Tsu2

6 6 Sistemas Digitales T(clk2) clk2 clk Tskew Tf Tc Tsu2 Figura 5.8. equerimientos de setup del registro 2. Se considera el peor caso, ya que se asume que clk está atrasado respecto de clk2. En caso de estar adelantado, se podría tener una frecuencia de funcionamiento sincrónica mayor, ya que en este caso se requiere un T(clk2) menor. Para que las entradas permanezcan estables un poco después del canto de subida en el registro 2, debe cumplirse: Thold2 < Tf +Tm + Tskew clk2 clk Thold 2 Tskew Figura 5.9. equerimientos de hold para el registro 2. También se ha considerado el peor caso para determinar que se cumpla el requerimiento del tiempo de sostenimiento de las entradas después del canto; se asume que el clk está atrasado respecto del clk2. En general este requerimiento es más fácil de cumplir que el de tiempo de setup. Si se desea usar el mismo reloj, para efectuar transferencias entre registros, deben cumplirse los requerimientos de estableciemiento (setup) y sostenimiento (hold) de todos los registros del sistema. Esto implica que la etapa más lenta es la que determina la frecuencia del reloj. Tf Tm

7 Capítulo 5. egistros. Camino de datos. Memorias Operaciones posibles. Asumiendo que las señales WE, WE2 y WE3 son salidas de una máquina secuencial de Moore, controlada por el mismo reloj, se tendrá que es posible efectuar concurrentemente las operaciones: = A; 2 = f(); 3 = f2(2) Durante el ciclo en que están habilitadas las señales WE, los valores de A, y 2 permanecen estables (son los que figuran a la derecha en las ecuaciones anteriores). Al terminar dicho ciclo, se establecen los nuevos contenidos de estos registros; los nuevos valores serán asignados a las variables que figuran a la izquierda en las ecuaciones anteriores. Si se omite el registro 2, la misma operación anterior puede plantearse: = A; 3 = f2(f()) Con ello se tiene una red combinacional más compleja, y posiblemente resulte una frecuencia sincrónica menor, debido a que aumentan los tiempos de propagación, si las funciones combinacionales deben efectuarse en secuencia. No obstante, el tiempo de propagación entre A y la salida de 3 se reduce Operaciones combinacionales alternativas. Si las funciones combinacionales son alternativas, puede expresarse: = A; if ( C ) then 3 = f() ; else 3 = f2(); Lo cual puede lograrse con un multiplexor, comandado por la señal de control C, la cual también es generada por la máquina secuencial que genera los WE. En este caso la frecuencia máxima queda determinada por la función combinacional que tenga propagación más lenta. Obviamente debe agregarse al tiempo que toma realizar las acciones, el tiempo que adiciona el multiplexor. WE C WE 3 A f( ) 3 f2( ) 0 Figura 5.0. Funciones combinacionales alternativas.

8 8 Sistemas Digitales Hasta el momento se ha estado desarrollando cómo efectuar operaciones y depositar los resultados en registros. Los recursos planteados permiten implementar la asignación a una variable del resultado de una operación monádica. Otra cuestión que es de interés es el poder mover los datos entre registros, ya sea para memorizar un resultado parcial, o para intercambiar el contenido de éstos, o para copiar una variable en otra. Es decir deseamos estudiar un conjunto de registros y las conexiones entre ellos para posibilitar dichas transferencias Camino de Datos. Se denomina así a las formas empleadas para conectar los recursos, en este caso los registros. Existen varias estrategias que se diferencian entre sí por los recursos empleados y el tiempo que demoran en efectuarse las transferencias. Cuando el camino de datos soporta transferencias simultáneas se requerirán menos estados para realizar las acciones requeridas Conexiones punto a punto. Esta estrategia requiere conexiones entre cada registro fuente y cada registro destino. Es decir si deseamos conectar punto a punto a tres registros, se requiere que las tres salidas estén conectadas con las tres entradas. En el desarrollo actual los registros suelen ser de 32 bits, y se dispone de un número elevado de ellos, sobre 32.

9 Capítulo 5. egistros. Camino de datos. Memorias. 9 M0 WE M 0 2 WE M2 0 2 WE2 2 Figura 5.. Conexiones punto a punto entre 3 registros. La transferencia = 2 se logra activando en un mismo ciclo a: WE y M = 2 En este caso la señal M está formada por dos líneas que llevan el control M[]= y M[0] =0, al multiplexor que alimenta la entrada del registro. La conexión también permite la transferencia simultánea: 0 = y 2 =. Se logra en el mismo ciclo de reloj, que activa las salidas: WE0 =, WE2 =, M0 =, M2 = Nótese que se está leyendo el registro y escribiendo en 0 y 2. Durante el ciclo de reloj, la salida de está estable y viaja a través del camino de datos, y se propaga a través de los circuitos combinacionales que forman los multiplexores 0 y 2, y llega a las entradas de los registros 0 y 2 antes del próximo canto de subida del reloj. En este mismo ciclo, los valores de 0 y 2 conservan los valores que tenían desde la última vez que fueron escritos. Los nuevos valores de 0 y 2 son capturados al terminar el ciclo, justo cuando vuelve a subir el reloj. Las transferencias 0 =, = 2 también pueden realizarse. Nóte que en ésta, se lee y se escribe en el mismo ciclo.

10 0 Sistemas Digitales Se activan: WE0, WE, M0 =, M = 2. En el ciclo que se activan estas salidas desde el controlador, el contenido de no cambia. Permitiendo así mantener el valor en la entrada de 0. Por otro lado, como 2 no cambia su valor, posibilita que éste llegue en forma estable a las entradas de, pasando por el multiplexor. Al llegar el próximo canto de subida del reloj, se captura el nuevo valor de y de 0. La operación de intercambio de dos registros puede también efectuarse en un mismo ciclo. Esto puede verse analizando el caso anterior, con: 0 =, = 0. La estructura permite =. La transferencia = 2, =0 en la cual se escribe dos cosas diferentes en el mismo registro, no puede efectuarse, ya que el multiplexor sólo acepta un valor. Excluyendo así estas operaciones ilegales. La versatilidad y rapidez con que se pueden realizar transferencias en el esquema anterior se debe a la gran cantidad de recursos destinados al camino de datos Bus simple. Se emplea bus para un grupo de cables que efectúa determinados recorridos, transportando los datos, y que son compartidos por varias fuentes de datos. La siguiente estructura emplea un bus de escritura que es compartido por las erntradas de los registros. Ahora se tiene un solo multiplexor. Si se considera el costo de un multiplexor de 3 vías de 32 bits cada una; más aún si se tienen 32 registros, ya que en este caso debería ser un mux de 32 vías de entrada con 32 bits cada una, podrá estimarse que esta configuración emplea menos recursos de hardware que la conexión punto a punto( es decir, mucho menos compuertas o productos). Al existir el bus, éste solo puede ser empleado para una transferencia por ciclo. Se estudiarán transferencias similares a las vistas antes, para poder comparar los aumentos de tiempo que derivan de ocupar menos espacio de silicio.

11 Capítulo 5. egistros. Camino de datos. Memorias. WE0 Bus 0 B 0 2 WE WE2 2 Figura 5.2. Bus simple. La transferencia = 2 se logra activando: WE =, B = 2. En un ciclo. La transferencia simultánea: 0 = y 2 =, se logra en un ciclo. Se activan WE0 =, WE2 = y B=, logrando al finalizar el ciclo la captura simultánea del valor de en 0 y 2. Esto es posible ya que el bus queda ocupado con la información que proviene de la salida de. Las transferencias 0 =, = 2 demandan dos ciclos, pero importa el orden en que se activen los controles. Ya que primero debe leerse y escribirse en 0; luego puede sobreescribirse con el contenido de 2. Lo cual se logra con los controles: WE0 =, B = en el primer ciclo; luego: WE =, B = 2 en el segundo ciclo de reloj. En general en esta estructura sólo puede efectuarse una escritura en un registro por ciclo de reloj. Debe compartirse el bus.

12 2 Sistemas Digitales La operación de intercambio de dos registros: 0 =, = 0, resulta más compleja en esta estructura. Su realización requiere de un registro temporal, en caso de arreglos de 32 registros suele haber un grupo dedicado a estas funciones; en el caso muy simplificado que se estudia se empleará el registro 2 como temporal. Entonces, en un primer ciclo: 2 = 0 salvando el contenido de 0. En el siguiente 0=, sobreescribe 0, cambiando su contenido original que ya está salvado en 2. Finalmente 0=2. En lugar del multiplexor puede emplearse registros que tengan habilitación de la salida en tercer estado, suele denominarse OE (output enable) a esta señal. Entonces un simple decodificador de 2 a tres vías, en este caso, permite alimentar a una y sólo una señal habilitadora de tercer estado. Ver por ejemplo el 74LS Estructura multibus. Para implementar operaciones diádicas resulta útil disponer de salida dual del arreglo de registros. Es decir que cada registro puede alimentar dos buses diferentes, sean éstos los buses de salida A y B. Los buses A y B suelen alimentar una red combinacional que es capaz de realizar operaciones diádicas; es decir con dos operandos. Dicha red combinacional se denomina unidad aritmética. Además para escribir en el arreglo de registros puede disponerse de un bus de escritura, sea éste el bus C. Esta arquitectura limita la escritura a un solo registro por ciclo. Lo que esté alimentando al bus C, en el esquema la señal Weg, se escribe en un registro del arreglo. En un mismo ciclo pueden realizarse transferencias simultáneas a los buses de salida, y una escritura, por ejemplo: bus A = 0; bus B = 2; = Weg; Transferencias que pueden lograrse con los controles: A = 0 ; B = 2; WE =; Incluso puede escribirse en un registro que se esté leyendo. Esto es posible, ya que la señal WEi habilita el camino desde el bus C hasta la entrada del registro i, pero el contenido de éste no cambia hasta el próximo canto del reloj. Y en el mismo ciclo, puede estarse leyendo en forma estable la salida actual del registro i. Si el registro estuviera implementado con latchs transparentes, cuando se habilita el WEi, se dispone a la salida del registro i-ésimo el valor que se tenga en el bus C. es decir: i = Weg Si las señales WE se implementan con un decodificador, se asegura que sólo una de ellas estará activa. Esto en el caso que se desee escribir solamente en un registro. El esquema de buses múltiples se muestra a continuación:

13 Capítulo 5. egistros. Camino de datos. Memorias. 3 WE0 0 A Bus C 0 2 Bus A WE f(a,b) Weg B WE Bus B Figura 5.3. Camino de datos con tres buses.

14 4 Sistemas Digitales 5.5. Memoria AM Estática. Desde un punto de vista lógico una memoria tiene un bus unidireccional de direcciones, un bus bidireccional de datos y señales de control. En general se asume una comunicación asincrónica entre la memoria y los registros del sistema sincrónico; esto permite adaptarse a memorias con diferentes tiempos de acceso. Desde que se coloca la dirección en el bus de direcciones, transcurre un tiempo hasta que en la salida se tiene datos válidos en el bus de datos, esto en una operación de lectura; también transcurre un tiempo para que, lo que se ha colocado en el bus de datos, sea grabado en la dirección de memoria, esto en una operación de escritura. Ese tiempo se denomina de acceso. Las memorias estáticas asincrónicas no necesitan que se les suministre un reloj, y para su control disponen de tres señales que las manejan: Chip Enable (E ): Con esta señal en nivel bajo se habilita la memoria. Write Enable (W ): Cuando está baja (y la memoria está habilitada), los valores en el bus de datos son escritos en la localización seleccionada por el bus de direcciones. Output Enable (G ): Cuando está baja (y la memoria está habilitada), el bus de datos es alimentado con el valor de la localización de memoria seleccionada por el bus de direcciones. Cuando está alta, el bus de datos interno de salida queda en un estado de alta impedancia. ADD[n..0] E W G Memoria Estática D[m..0] Figura 5.4. Control asincrónico de memoria estática. Para una memoria de 8KB, se tiene que m es 7, y n es 2. Es decir 8 bits de datos y 3 de direcciones, ya que 8K equivale a 8x024= Ciclo de lectura. Los fabricantes establecen los requerimientos temporales de las señales de control. Indicando las secuencias que deben cumplir las formas de ondas de las señales de control, y una tabla con valores mínimos y máximos para los parámetros.

15 Capítulo 5. egistros. Camino de datos. Memorias. 5 Figura 5.5. Ciclo de lectura. Las formas de ondas para el ciclo de lectura son con W =. Parámetro Símbolo mín máx Tiempo de ciclo de lectura t AVAV 2 - Tiempo acceso dirección t AVQV - 2 Tiempo acceso luego de habilitación de chip t ELQV - 2 Tiempo acceso luego de habilitación de salida t GLQV - 6 Mantenimiento desde cambio de dirección t AXQX 4 - De chip enable bajo a salida activa t ELQX 4 - De chip enable alto a salida alta impedancia t EHQZ 0 6 De output enable bajo a salida activa t GLQX 0 - De output enable alto a salida alta impedancia t GHQZ 0 6 Tiempo de encendido t ELICCH 0 - Tiempo de apagado t EHICCL - 2 Figura 5.6. Parámetros de lectura. Los valores corresponden a una memoria con tiempo de acceso igual a 2 ns, que suele indicarse al final de las siglas que identifican la memoria. El ciclo de lectura comienza cuando E y G están bajas. Los datos son válidos luego del tiempo de acceso en lectura. Luego de que E o G suben, los datos se mantienen en la salida, hasta que ésta entra en flotación. El tiempo de ciclo es el tiempo mínimo que debe transcurrir entre dos requerimientos a la memoria. Esto implica que no se puede cambiar el bus de direcciones durante una operación.

16 6 Sistemas Digitales tc direcciones Chip enable E Output enable G datos ta tae tag tb tf validos Figura 5.7. equerimientos temporales lectura. Se actualiza el bus de direcciones. Luego de un tiempo se activan: chip enable y output enable. Luego del tiempo de acceso desde el cambio de dirección (ta), o del canto de bajada de chip enable (tae), o del tiempo de acceso desde la habilitación de la salida (tag), el tiempo que sea mayor, los datos quedan disponibles para ser capturados en el bus de datos. El tiempo de acceso al bus, luego de activar la salida se indica con tb. Luego de esto puede deshabilitarse la salida y la señal chip enable. Los datos se mantienen en la salida un breve lapso (tf). El bus de direcciones debe permanecer estable durante el tiempo de ciclo, antes de proceder a un nuevo acceso a la memoria (tc) Ciclo de escritura. Las formas de ondas para el ciclo de escritura son con G =. Figura 5.8. Ciclo de escritura.

17 Capítulo 5. egistros. Camino de datos. Memorias. 7 Parámetro Símbolo mín máx Tiempo de ciclo de escritura t AVAV 2 - Tiempo de setup de la dirección t AVWL 0 - Dirección válida hasta el fin de la escritura t AVWH 0 - Ancho de pulso de escritura t WLWH 0 - Ancho de pulso de escritura hasta enable t WLEH 0 - Datos válidos hasta fin de escritura t DVWH 6 - Tiempo de mantenimiento de datos (hold) t WHDX 0 - De write bajo hasta alta impedancia t WLQZ 0 6 De write alto hasta salida activa t WHQX 4 - Tiempo de recuperación escritura t WHAX 0 - Figura 5.9. Parámetros de escritura. Los datos son capturados en la celda de memoria cuando W tiene un canto de subida, en ese momento los datos del bus deben estar estables. Además el bus de direcciones debe estar estable antes del canto de bajada de W (setup), y luego del canto de subida de W (hold). Los glitches en el bus de direcciones pueden producir cambios de estado no deseados en la memoria. tc direcciones Chip enable E Write enable W datos tas tah tw th ts validos Figura equerimientos temporales escritura. Luego de establecida la nueva dirección, no puede activarse el canto de bajada de write enable hasta tas segundos después. El ancho del pulso de escritura debe tener un mínimo de tw. Los datos que serán escritos en la celda, no deben cambiar durante el tiempo de setup, ts segundos antes del canto de subida del pulso de escritura, y deben mantenerse th segundos después del canto de subida del pulso de escritura. También no puede cambiarse el bus de dirección, debiendo mantenerlo estable tah segundos luego del canto de subida del pulso de escritura Control de memoria ram estática. Se desea diseñar un controlador que permita conectar una memoria a un sistema sincrónico. Los controles read y write, sincronizados por cantos de bajada, desencadenan las secuencias de señales de control, que permiten leer y escribir en la memoria. La Figura 5.2, muestra el ambiente de diseño.

18 8 Sistemas Digitales add direcciones reset read write la controlador E W G Memoria Din ld cd dw doe datos Dout clk Figura 5.2. Entorno de diseño. La operación de lectura, puede describirse por la transferencia: Dout = M[add] La operación de escritura, puede escribirse: M[add] = Din Un diagrama de bloques simplificado se muestra en la Figura read write add Din Memoria Controlador Dout clk clk Figura Entorno de diseño.

19 Capítulo 5. egistros. Camino de datos. Memorias. 9 Las señales de lógica negativa: E, G, W y doe, se identifican con: Ep, Gp, Wp y doep. Las órdenes: reset, read y write se consideran pulsos de un ancho de pulso del reloj, y sincronizadas por cantos de bajada. Todas las salidas del controlador serán señales sincronizadas por cantos de subida, por lo cual las habilitaciones de escritura en los registros se efectúan con cantos de bajada del reloj. Se emplean: la por load address, ld por load data, cd por captura datos. Para cumplir con los requerimientos temporales de la memoria, basta que dos períodos del reloj sean mayores que el tiempo de acceso. Esto puede comprobarse, en el esquema de las señales de control de la Figura 5.23, ya que transcurren dos ciclos desde que se tiene una nueva dirección hasta que se graba en el registro de lectura. clk read la Ep a Gp Cd E0 E4 E5 E6 E0 Figura Secuencia de lectura. La Figura 5.24, desarrolla las secuencias de escritura en tres ciclos del reloj. clk write ld la Ep a Wp doep E0 E E2 E3 E0 Figura Secuencia de escritura. La Figura 5.25 ilustra el diagrama de estados del controlador. Sólo se muestran las señales la y cd asociadas a los estados del diagrama de Moore; el resto de los valores se ilustran en las Figuras 5.23 y 5.24.

20 20 Sistemas Digitales reset read=0 write=0 E4 la= read= E0 write= E5 E6 cd= E la= E2 E3 Figura Diagrama de estados del controlador. Mediante las especificaciones de las Figuras 5.23, 5.24 y 5.25, resulta sencillo especificar el diseño en Verilog. module MSE(clk, reset, read, write, Din, Dout, add, direcciones, Ep, Wp, Gp, doep, la, ld, cd, datos); input clk, reset, read, write; input [7:0] Din; output [7:0] Dout; input [2:0] add; output [2:0] direcciones; output Ep, Gp, Wp, doep, la, ld, cd; inout [7:0] datos; reg [7:0] Dout; reg [7:0] dw; reg [2:0] direcciones; reg [2:0] estado, next; reg Ep, Gp, Wp, doep, la, ld, cd; wire [7:0] datos; assign datos = doep? 8'hz : dw; //doep= alta impedancia. // Asignación de estados parameter IDLE = 0; parameter write = ; parameter write2 = 2; parameter write3 = 3; parameter read = 4; parameter read2 = 5; parameter read3 = 6;

21 Capítulo 5. egistros. Camino de datos. Memorias. 2 (posedge clk) begin if (reset) estado <= IDLE; else estado <= next; end (negedge clk) begin if (la) direcciones <= add; if (cd) Dout <= datos; if (ld) dw <= Din; end // Bloque combinacional. Genera próximo estado y las señales de control. (estado or read or write) begin case (estado) IDLE: begin Ep= ; Wp= ; Gp= ; doep=; la= 0; ld= 0; cd= 0; if (write) next = write; else if (read) next = read; else next = IDLE; end write: begin Ep= ; Wp= ; Gp= ; doep=0; la= ; ld= ; cd= 0; next = write2; end write2: begin Ep= 0; Wp= 0; Gp= ; doep=0; la= 0; ld= 0; cd= 0; next = write3; end write3: begin Ep= ; Wp= ; Gp= ; doep=0; la= 0; ld= 0; cd= 0; next = IDLE; end read: begin Ep= ; Wp= ; Gp= ; doep=; la= ; ld= 0; cd= 0; next = read2; end read2: begin Ep= 0; Wp= ; Gp= 0; doep=; la= 0; ld= 0; cd= 0;

22 22 Sistemas Digitales next = read3; end read3: begin Ep= 0; Wp= ; Gp= 0; doep=; la= 0; ld= 0; cd= ; next = IDLE; end default: begin Ep= ; Wp= ; Gp= ; doep=; la= 0; ld= 0; cd= 0; next = IDLE; end endcase end //always endmodule El siguiente módulo efectúa una simulación del controlador. `timescale ns / ps module test_v; // Inputs reg clk; reg reset; reg read; reg write; reg [7:0] Din; reg [2:0] add; // Outputs wire [7:0] Dout; wire [2:0] direcciones; wire Ep; wire Wp; wire Gp; wire doep; wire la; wire ld; wire cd; // Bidirs wire [7:0] datos; // Instantiate the Unit Under Test (UUT) MSE uut (.clk(clk),.reset(reset),.read(read),

23 Capítulo 5. egistros. Camino de datos. Memorias. 23 );.write(write),.din(din),.dout(dout),.add(add),.direcciones(direcciones),.ep(ep),.wp(wp),.gp(gp),.doep(doep),.la(la),.ld(ld),.cd(cd),.datos(datos) initial begin // Initialize Inputs clk = 0; reset = 0; read = 0; write = 0; Din = 0; add = 0; // Wait 00 ns for global reset to finish #00; // Add stimulus here reset=; clk=0; #00; clk=; #00; reset=0; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; add=3'b ; read=; clk=0; #00; clk=; #00; read=0; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; end endmodule add=3'b ; Din=8'b0000; write=; clk=0; #00; clk=; #00; write=0; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00; clk=0; #00; clk=; #00;

24 24 Sistemas Digitales Figura Formas de ondas generadas por la simulación Memorias flash o EEPOM. El procedimiento de lectura de memorias flash o EEPOM es el mismo que para las memorias estáticas SAM. Estas memorias disponen de un circuito interno que genera el voltaje de programación de tipo 2 V, y además tienen una máquina secuencial de estados internas que permite escribirlas. Las señales de escritura deben cumplir los requerimientos temporales de la máquina de estados, y usan las mismas señales de control Memorias sincrónicas. En la actualidad se dispone de memorias estáticas sincrónicas que logran velocidades de acceso mayores.

25 Capítulo 5. egistros. Camino de datos. Memorias. 25 Índice general. CAPÍTULO 5... EGISTOS. BUSES. MEMOIAS EGISTOS EGISTO CON HABILITACIÓN DE ESCITUA FUNCIONAMIENTO SINCÓNICO. TANSFEENCIAS ENTE EGISTOS equerimientos de tiempo Operaciones posibles Operaciones combinacionales alternativas CAMINO DE DATOS Conexiones punto a punto Bus simple Estructura multibus MEMOIA AM ESTÁTICA Ciclo de lectura Ciclo de escritura Control de memoria ram estática Memorias flash o EEPOM Memorias sincrónicas ÍNDICE GENEAL ÍNDICE DE FIGUAS... 26

26 26 Sistemas Digitales Índice de figuras Figura 5.. egistro de cuatro bits.... Figura 5.2. egistro con habilitación de escritura Figura 5.3. Estados que generan la señal de control WE Figura 5.4. Control del registro con WE Figura 5.5. epresentación simbólica de registro con habilitación de escritura Figura 5.6. Transferencia entre registros Figura 5.7. Corrimientos del reloj (skew) Figura 5.8. equerimientos de setup del registro Figura 5.9. equerimientos de hold para el registro Figura 5.0. Funciones combinacionales alternativas Figura 5.. Conexiones punto a punto entre 3 registros Figura 5.2. Bus simple.... Figura 5.3. Camino de datos con tres buses Figura 5.4. Control asincrónico de memoria estática Figura 5.5. Ciclo de lectura Figura 5.6. Parámetros de lectura Figura 5.7. equerimientos temporales lectura Figura 5.8. Ciclo de escritura... 6 Figura 5.9. Parámetros de escritura Figura equerimientos temporales escritura Figura 5.2. Entorno de diseño Figura Entorno de diseño Figura Secuencia de lectura Figura Secuencia de escritura Figura Diagrama de estados del controlador Figura Formas de ondas generadas por la simulación

REGISTROS DE DESPLAZAMIENTO

REGISTROS DE DESPLAZAMIENTO REGISTROS DE DESPLAZAMIENTO Es un circuito digital que acepta datos binarios de una fuente de entrada y luego los desplaza, un bit a la vez, a través de una cadena de flip-flops. Este sistema secuencial

Más detalles

Electrónica Digital. Tema 3. Diseño Síncrono

Electrónica Digital. Tema 3. Diseño Síncrono Electrónica igital Tema 3 iseño Síncrono iseño Síncrono Régimen transitorio en los circuitos digitales Concepto de espurio. Clasificación Alternativas para evitarlos iseño síncrono de Sistemas igitales

Más detalles

Figura 1: Símbolo lógico de un flip-flop SR

Figura 1: Símbolo lógico de un flip-flop SR FLIP-FLOPS Los circuitos lógicos se clasifican en dos categorías. Los grupos de puertas descritos hasta ahora, y los que se denominan circuitos lógicos secuenciales. Los bloques básicos para construir

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS Fundamentos de Computadores. Sistemas Secuenciales. T7-1 INDICE: Tema 7. SISTEMAS SECUENCIALES INTRODUCCIÓN SISTEMAS SECUENCIALES SÍNCRONOS TIPOS DE BIESTABLES o TABLAS DE ECITACIÓN DE LOS BIESTABLES o

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

18. Camino de datos y unidad de control

18. Camino de datos y unidad de control Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 18. Camino de datos y unidad de control Un La versatilidad una característica deseable los Los

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES LABORATORIO # 7 Realización: 16-06-2011 CIRCUITOS SECUENCIALES 1. OBJETIVOS Diseñar e implementar circuitos utilizando circuitos multivibradores. Comprender los circuitos el funcionamiento de los circuitos

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción.

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción. T-3 Lógica ecuencial. egistros de Desplazamiento y Contadores TEMA - 3 LÓGICA ECUENCIAL. EGITO DE DEPLAZAMIENTO Y CONTADOE..- Introducción. Hemos visto que en la lógica combinacional las salidas están

Más detalles

Registros y Contadores

Registros y Contadores Registros y Contadores Mario Medina C. mariomedina@udec.cl Registros Grupos de flip-flops con reloj común Almacenamiento de datos Desplazamiento de datos Construcción de contadores simples Como cada FF

Más detalles

TEMA7. SISTEMAS SECUENCIALES

TEMA7. SISTEMAS SECUENCIALES Sistemas Secuenciales 1 TEMA7. SISTEMAS SECUENCIALES Los circuitos lógicos se clasifican en dos tipos: Combinacionales, aquellos cuyas salidas sólo dependen de las entradas actuales. Secuenciales, aquellos

Más detalles

UNIDADES DE ALMACENAMIENTO DE DATOS

UNIDADES DE ALMACENAMIENTO DE DATOS 1.2 MATÉMATICAS DE REDES 1.2.1 REPRESENTACIÓN BINARIA DE DATOS Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS. Los computadores sólo

Más detalles

Organización de Computadoras. Turno Recursantes Clase 8

Organización de Computadoras. Turno Recursantes Clase 8 Organización de Computadoras Turno Recursantes Clase 8 Temas de Clase Subsistema de Memoria Organización de Memoria Principal Notas de clase 8 2 Memoria Velocidad del procesador: se duplica cada 18 meses

Más detalles

Lo que definimos como CPU (Central Process Unit) o Unidad Central de Proceso, está estructurado por tres unidades operativamente diferentes:

Lo que definimos como CPU (Central Process Unit) o Unidad Central de Proceso, está estructurado por tres unidades operativamente diferentes: Facultad de Ciencias Exactas y Naturales y Agrimensura Departamento de Ingeniería Cátedra : Proyecto Final Apuntes : Microprocesadores Tema 6-1 : Esquema de un µp. La CPU Lo que definimos como CPU (Central

Más detalles

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ ELECTRÓNICA DIGITAL DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ IES TRINIDAD ARROYO DPTO. DE ELECTRÓNICA ÍNDICE ÍNDICE... 1 1. LIMITACIONES DE LOS CONTADORES ASÍNCRONOS... 2 2. CONTADORES SÍNCRONOS...

Más detalles

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Programa. Introducción. 2. Elementos de almacenamiento. 3. Elementos de proceso. 4. Elementos de interconexión.

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GENERADOR DE NÚMEROS PRIMOS. OBJETIVO Un circuito

Más detalles

Actividad 4: Comunicación entre PLC s vía Ethernet

Actividad 4: Comunicación entre PLC s vía Ethernet Actividad 4: Comunicación entre PLC s vía Ethernet 1.- Listado de materiales: PC con Tarjeta de red 3com o similar. 2 PLC Omrom CJ1M CPU11 ETN Estos autómatas llevan integrada la tarjeta de comunicaciones

Más detalles

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT)

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) Centro CFP/ES COMUNICACIÓN I2C 1 VENTAJAS DE LA COMUNICACIÓN I2C COMPARATIVA ESTANDAR DE TRANSMISIÓN 2 DISPOSITIVOS I2C DISPOSITIVOS I2C MAX518 3 DISPOSITIVOS

Más detalles

CONTADORES Y REGISTROS

CONTADORES Y REGISTROS Capítulo 7 CONTADORES Y REGISTROS 7.. CONTADORES Un contador es un circuito secuencial cuya función es seguir una cuenta o conjunto predeterminado de estados como consecuencia de la aplicación de un tren

Más detalles

Contadores. Introducción n a los Sistemas Lógicos y Digitales 2009

Contadores. Introducción n a los Sistemas Lógicos y Digitales 2009 Introducción n a los Sistemas Lógicos y Digitales 29 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 29 Contador digital: Es todo circuito o dispositivo que genera una serie de combinaciones

Más detalles

3. Número inicial y número final de mensajes mostrados en la página actual.

3. Número inicial y número final de mensajes mostrados en la página actual. Sistema WEBmail El sistema WEBmail permite el acceso rápido y sencillo a su buzón de correo utilizando un navegador de páginas Web. Normalmente es usado como complemento al lector de correo tradicional,

Más detalles

MEMORIAS DE SEMICONDUCTORES

MEMORIAS DE SEMICONDUCTORES MEMORIAS DE SEMICONDUCTORES Se ha visto anteriormente que un registro (latch o flip-flop) puede almacenar un bit. Para almacenar una gran cantidad de bits, se recurre al uso de memorias. Una memoria, en

Más detalles

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Se pretende realizar el circuito lógico interno de una máquina tragaperras de tres ruletas. El sistema completo tiene un esquema como el

Más detalles

GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS DETECTOR DE MONEDAS Introducción La presente práctica de

Más detalles

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

Tema 5: Sistemas secuenciales

Tema 5: Sistemas secuenciales Tema 5: Circuitos secuenciales 5.1 Introducción: tablas de transición, cronogramas. Hemos visto como en los circuitos combinacionales, las salidas sólo dependen de las entradas en el mismo instante de

Más detalles

En caso de que el cliente nunca haya obtenido una concesión de licencia de un servidor DHCP:

En caso de que el cliente nunca haya obtenido una concesión de licencia de un servidor DHCP: Servidor DHCP El protocolo de configuración dinámica de host (DHCP, Dynamic Host Configuration Protocol) es un estándar TCP/IP diseñado para simplificar la administración de la configuración IP de los

Más detalles

DESCRIPCION DE PLC UP32 17/07/11 MAN-PLC-UP32-01A

DESCRIPCION DE PLC UP32 17/07/11 MAN-PLC-UP32-01A 1. Introducción El equipo UP32 incorpora un módulo de PLC que le permiten al usuario personalizar el funcionamiento del sistema. Esto quiere decir, que si necesita realizar operaciones lógicas que no estén

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de CONTADORES Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de sus biestables. Los contadores son circuitos

Más detalles

Comparadores UNIDAD V

Comparadores UNIDAD V Comparadores UNIDAD V Tecsup Virtu@l Automatización Lógica Programable Índice MÓDULO 2: PROGRAMACIÓN AVANZADA Unidad V: COMPARADORES 1. Comparadores... 1 1.1 Introducción... 1 1.2 Objetivos... 1 1.3 Contenido...

Más detalles

No se requiere que los discos sean del mismo tamaño ya que el objetivo es solamente adjuntar discos.

No se requiere que los discos sean del mismo tamaño ya que el objetivo es solamente adjuntar discos. RAIDS MODO LINEAL Es un tipo de raid que muestra lógicamente un disco pero se compone de 2 o más discos. Solamente llena el disco 0 y cuando este está lleno sigue con el disco 1 y así sucesivamente. Este

Más detalles

Pipelining o Segmentación de Instrucciones

Pipelining o Segmentación de Instrucciones Pipelining o Segmentación de Instrucciones La segmentación de instrucciones es similar al uso de una cadena de montaje en una fábrica de manufacturación. En las cadenas de montaje, el producto pasa a través

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

k 11 N. de publicación: ES 2 047 221 k 51 Int. Cl. 5 : G01R 21/133

k 11 N. de publicación: ES 2 047 221 k 51 Int. Cl. 5 : G01R 21/133 k 19 OFICINA ESPAÑOLA DE PATENTES Y MARCAS ESPAÑA k 11 N. de publicación: ES 2 047 221 k 1 Int. Cl. : G01R 21/133 G11C 7/00 12 k TRADUCCION DE PATENTE EUROPEA T3 86 knúmero de solicitud europea: 90116348.

Más detalles

INTRODUCCION A LA PROGRAMACION DE PLC

INTRODUCCION A LA PROGRAMACION DE PLC INTRODUCCION A LA PROGRAMACION DE PLC Esta guía se utilizará para estudiar la estructura general de programación de um PLC Instrucciones y Programas Una instrucción u orden de trabajo consta de dos partes

Más detalles

AGREGAR UN EQUIPO A UNA RED Y COMPARTIR ARCHIVOS CON WINDOWS 7

AGREGAR UN EQUIPO A UNA RED Y COMPARTIR ARCHIVOS CON WINDOWS 7 Tutoriales de ayuda e información para todos los niveles AGREGAR UN EQUIPO A UNA RED Y COMPARTIR ARCHIVOS CON WINDOWS 7 Como agregar a una red existente un equipo con Windows 7 y compartir sus archivos

Más detalles

Guía de instalación de LliureX 5.09

Guía de instalación de LliureX 5.09 Guía de instalación de LliureX 5.09 Introducción La distribución LliureX está basada en Sarge, la versión estable de Debian GNU/Linux. Esta guía pretende ayudar al usuario en el proceso de instalación

Más detalles

DISCOS RAID. Se considera que todos los discos físicos tienen la misma capacidad, y de no ser así, en el que sea mayor se desperdicia la diferencia.

DISCOS RAID. Se considera que todos los discos físicos tienen la misma capacidad, y de no ser así, en el que sea mayor se desperdicia la diferencia. DISCOS RAID Raid: redundant array of independent disks, quiere decir conjunto redundante de discos independientes. Es un sistema de almacenamiento de datos que utiliza varias unidades físicas para guardar

Más detalles

Introducción a la Firma Electrónica en MIDAS

Introducción a la Firma Electrónica en MIDAS Introducción a la Firma Electrónica en MIDAS Firma Digital Introducción. El Módulo para la Integración de Documentos y Acceso a los Sistemas(MIDAS) emplea la firma digital como método de aseguramiento

Más detalles

Tema 4. Gestión de entrada/salida

Tema 4. Gestión de entrada/salida Tema 4. Gestión de entrada/salida 1. Principios de la gestión de E/S. 1.Problemática de los dispositivos de E/S. 2.Objetivos generales del software de E/S. 3.Principios hardware de E/S. 1. E/S controlada

Más detalles

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es SCT3000 95 Versión 3.5 Software para la calibración de transductores de fuerza. Microtest S.A. microtes@arrakis.es Introducción El programa SCT3000 95, es un sistema diseñado para la calibración automática

Más detalles

Matemática de redes Representación binaria de datos Bits y bytes

Matemática de redes Representación binaria de datos Bits y bytes Matemática de redes Representación binaria de datos Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS. Los computadores sólo pueden entender

Más detalles

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal)

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Unidad I Sistemas numéricos 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS.

Más detalles

GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS TRANSFERENCIA ENTRE REGISTROS OBETIVO El lenguaje

Más detalles

UNIDAD 2 Configuración y operación de un sistema de cómputo Representación de datos Conceptos El concepto de bit (abreviatura de binary digit) es fundamental para el almacenamiento de datos Puede representarse

Más detalles

Capitulo V Administración de memoria

Capitulo V Administración de memoria Capitulo V Administración de memoria Introducción. Una de las tareas más importantes y complejas de un sistema operativo es la gestión de memoria. La gestión de memoria implica tratar la memoria principal

Más detalles

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIS ÚNIS DE LORTORIO IRUITOS ONTDORES UTOR: LERTO UERVO SNTIGO DE LI UNIVERSIDD SNTIGO DE LI DEPRTMENTO DE LORTORIOS IRUITOS ONTDORES Introducción El contador es un circuito específico de circuito secuencial,

Más detalles

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente: Departamento de Ingeniería de Sistemas Facultad de Ingeniería Universidad de Antioquia Arquitectura de Computadores y Laboratorio ISI355 (2011 2) Práctica No. 1 Diseño e implementación de una unidad aritmético

Más detalles

Tema IV. Unidad aritmético lógica

Tema IV. Unidad aritmético lógica Tema IV Unidad aritmético lógica 4.1 Sumadores binarios 4.1.1 Semisumador binario (SSB) 4.1.2 Sumador binario completo (SBC) 4.1.3 Sumador binario serie 4.1.4 Sumador binario paralelo con propagación del

Más detalles

Capítulo 5 Programación del algoritmo en LabVIEW

Capítulo 5 Programación del algoritmo en LabVIEW Programación del algoritmo en LabVIEW En este capítulo se describen las funciones que se emplearon para implementar el control PID wavenet en LabVIEW. El algoritmo wavenet fue implementado en LabVIEW para

Más detalles

Tema 4: Diseño de un microprocesador

Tema 4: Diseño de un microprocesador Tema : Diseño de un microprocesador Febrero de Tema : Diseño de un microprocesador Febrero de / 7 Índice Introducción Visión general de la implementación El camino de datos Control del camino de datos

Más detalles

CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS LABORATORIO # 6 Realización: 26-05-2011 CIRCUITOS ARITMÉTICOS 1. OBJETIVOS Comprender los circuitos aritméticos dentro de la lógica binaria Utilizar sumadores totales de cuatro bits dentro de un Circuito

Más detalles

SISTEMAS DE NUMERACIÓN. Sistema decimal

SISTEMAS DE NUMERACIÓN. Sistema decimal SISTEMAS DE NUMERACIÓN Sistema decimal Desde antiguo el Hombre ha ideado sistemas para numerar objetos, algunos sistemas primitivos han llegado hasta nuestros días, tal es el caso de los "números romanos",

Más detalles

Tema 8. Circuitos secuenciales de Propósito general: REGISTROS Y CONTADORES

Tema 8. Circuitos secuenciales de Propósito general: REGISTROS Y CONTADORES Registros y ontadores 1 Tema 8. ircuitos secuenciales de Propósito general: REGISTROS Y ONTORES Una colección de dos o más biestables con una entrada común se conoce como un registro. Los registros se

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Conciliación bancaria en CheqPAQ Cargado de estado de cuenta

Conciliación bancaria en CheqPAQ Cargado de estado de cuenta Conciliación bancaria en CheqPAQ Cargado de estado de cuenta Introducción Con la finalidad de mantenerte informado respecto a todos los cambios y mejoras de los productos de CONTPAQ i, ponemos a tu disposición

Más detalles

GUIA COMPLEMENTARIA PARA EL USUARIO DE AUTOAUDIT. Versión N 02 Fecha: 2011-Febrero Apartado: Archivos Anexos ARCHIVOS ANEXOS

GUIA COMPLEMENTARIA PARA EL USUARIO DE AUTOAUDIT. Versión N 02 Fecha: 2011-Febrero Apartado: Archivos Anexos ARCHIVOS ANEXOS ARCHIVOS ANEXOS Son los documentos, hojas de cálculo o cualquier archivo que se anexa a las carpetas, subcarpetas, hallazgos u otros formularios de papeles de trabajo. Estos archivos constituyen la evidencia

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

2 Entrada binaria, 4 canales, DIN

2 Entrada binaria, 4 canales, DIN 2114 REG Página 1 de 14 Sensores 2 Entrada binaria, 4 canales, DIN REF. 2114 REG Familia: Entrada Producto: Binaria, 4 canales 3 Descripción de las funciones: Esta entrada envía telegramas al bus, en función

Más detalles

t i Q 7 Q 6 Q 5 Q 4 Q 3 Q 2 Q 1 Q 0

t i Q 7 Q 6 Q 5 Q 4 Q 3 Q 2 Q 1 Q 0 Clase 5 Un registro es un conjunto de n latch o Flip-Flops asociados que permiten almacenar temporalmente una palabra o grupo de n bit. Hay dos clases de registros típicos sincrónicos 1. el registro de

Más detalles

PROCEDIMIENTO DE ENLACE TCPIP

PROCEDIMIENTO DE ENLACE TCPIP DISPOSITIVOS TCP/IP. Los dispositivos TCP/IP son equipos autónomos que funcionan de forma independiente a la PC y que tiene incorporado el procesamiento de identificación por medio de la huella digital,

Más detalles

Una computadora de cualquier forma que se vea tiene dos tipos de componentes: El Hardware y el Software.

Una computadora de cualquier forma que se vea tiene dos tipos de componentes: El Hardware y el Software. ARQUITECTURA DE LAS COMPUTADORAS QUE ES UNA COMPUTADORA (UN ORDENADOR)? Existen numerosas definiciones de una computadora, entre ellas las siguientes: 1) Una computadora es un dispositivo capaz de realizar

Más detalles

Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL. Capítulo 3. Codificadores

Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL. Capítulo 3. Codificadores Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL Capítulo 3 Codificadores Codificadores binarios y codificadores de prioridad. Codificadores de 3 a 2 líneas y de 4 a dos líneas. Detector

Más detalles

Puertas Lógicas. Contenidos. Objetivos

Puertas Lógicas. Contenidos. Objetivos Contenidos Objetivos En esta quincena aprenderás a: Implementar funciones mediante puertas lógicas. Conocer y manejar la simbología de las puertas lógicas. Construir circuitos lógicos en el programa simulador

Más detalles

Gestión de la Configuración

Gestión de la Configuración Gestión de la ÍNDICE DESCRIPCIÓN Y OBJETIVOS... 1 ESTUDIO DE VIABILIDAD DEL SISTEMA... 2 ACTIVIDAD EVS-GC 1: DEFINICIÓN DE LOS REQUISITOS DE GESTIÓN DE CONFIGURACIÓN... 2 Tarea EVS-GC 1.1: Definición de

Más detalles

by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true

by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true I. FUNDAMENTOS 3. Representación de la información Introducción a la Informática Curso de Acceso a la Universidad

Más detalles

TEMA 3: Control secuencial

TEMA 3: Control secuencial TEMA 3: Control secuencial Esquema: Índice de contenido TEMA 3: Control secuencial...1 1.- Introducción...1 2.- Biestables...3 2.1.- Biestables asíncronos: el Biestable RS...4 2.1.1.- Biestable RS con

Más detalles

Guía de Usuario. Premier 24/48/88/168/640

Guía de Usuario. Premier 24/48/88/168/640 Guía de Usuario Premier 24/48/88/168/640 1. Resumen Introducción A los Usuarios del sistema de alarma se les asigna un código único de Usuario de 4, 5 ó 6 dígitos. Los códigos de usuario son usados para

Más detalles

COMUNICACION DE PLC S MEDIANTE EL PUERTO RS- 485 Y MONITOREADO POR PANTALLA.

COMUNICACION DE PLC S MEDIANTE EL PUERTO RS- 485 Y MONITOREADO POR PANTALLA. COMUNICACION DE PLC S MEDIANTE EL PUERTO RS- 485 Y MONITOREADO POR PANTALLA. Planteamiento: Usando dos PLC s de la familia SS y dos pantallas AS35THTD, desarrollar un programa para el PLC ESCLAVO que cuente

Más detalles

EJERCICIOS RESUELTOS DE SECUENCIALES

EJERCICIOS RESUELTOS DE SECUENCIALES EJERCICIOS RESUELTOS DE SECUENCIALES 1) El sistema de apertura de una caja fuerte está compuesto por dos teclas A y B, un circuito secuencial a diseñar y un temporizador que mantiene la caja fuerte abierta

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas AUTOMATIZACION GUIA DE TRABAJO 2 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

Sube Selector Canales. Canal. Baja. Tema 4: Bases Matemáticas II. 4.1 Sistemas con memoria o secuenciales. 4.1.1 Introducción.

Sube Selector Canales. Canal. Baja. Tema 4: Bases Matemáticas II. 4.1 Sistemas con memoria o secuenciales. 4.1.1 Introducción. Bases Matemáticas II - ágina 1 de 11 Tema 4: Bases Matemáticas II. 4.1 Sistemas con memoria o secuenciales. 4.1.1 Introducción. Hasta ahora hemos tratados con dispositivos lógicos cuyas salidas dependían

Más detalles

El protocolo IMAP ofrece la descarga de correos en modo sólo lectura, es decir descarga sólo una copia del correo y no permite el borrado.

El protocolo IMAP ofrece la descarga de correos en modo sólo lectura, es decir descarga sólo una copia del correo y no permite el borrado. Manual de Configuración de correo en Android Utilizar POP o IMAP? Existen dos formas de configurar su cuenta de correo en dispositivos móviles, la primera es POP y la segunda es IMAP El protocolo POP es

Más detalles

Unidad 1: Conceptos generales de Sistemas Operativos.

Unidad 1: Conceptos generales de Sistemas Operativos. Unidad 1: Conceptos generales de Sistemas Operativos. Tema 2: Estructura de los sistemas de computación. 2.1 Funcionamiento de los sistemas de computación. 2.2 Ejecución de instrucciones e interrupciones

Más detalles

Manual de Palm BlueBoard 2.0

Manual de Palm BlueBoard 2.0 Manual de Palm BlueBoard 2.0 Copyright 2002 Palm, Inc. Todos los derechos reservados. Graffiti, HotSync y Palm OS son marcas registradas de Palm, Inc. El logotipo de HotSync, Palm y el logotipo de Palm

Más detalles

Instrumentación Virtual con LabVIEW

Instrumentación Virtual con LabVIEW Instrumentación Virtual con LabVIEW ESTRUCTURAS ESTRUCTURAS WHILE FOR.. CASE SEQUENCE Opciones de selección de CASE Controles Visibles Variables Locales y Globales Personalizar controles 1.- ENTORNO DE

Más detalles

Manual de usuario para Facturación Electrónica 2011

Manual de usuario para Facturación Electrónica 2011 Contenido Manual de usuario Facturación Electrónica.... 2 Requisitos... 2 Registro de usuario.... 2 Inicio de sesión.... 4 Cerrar Sesión.... 4 Mi cuenta.... 5 Datos Personales.... 5 Información Fiscal...

Más detalles

Capítulo 9. Archivos de sintaxis

Capítulo 9. Archivos de sintaxis Capítulo 9 Archivos de sintaxis El SPSS permite generar y editar archivos de texto con sintaxis SPSS, es decir, archivos de texto con instrucciones de programación en un lenguaje propio del SPSS. Esta

Más detalles

MANUAL COPIAS DE SEGURIDAD

MANUAL COPIAS DE SEGURIDAD MANUAL COPIAS DE SEGURIDAD Índice de contenido Ventajas del nuevo sistema de copia de seguridad...2 Actualización de la configuración...2 Pantalla de configuración...3 Configuración de las rutas...4 Carpeta

Más detalles

solecméxico Circuitos de disparo 1 CIRCUITOS DE DISPARO SCHMITT - TRIGER

solecméxico Circuitos de disparo 1 CIRCUITOS DE DISPARO SCHMITT - TRIGER solecméxico Circuitos de disparo 1 CIRCUITOS DE DISPARO SCHMITT - TRIGER Cuando la señal de entrada se encuentra contaminada con ruido, la conmutación de un circuito digital o analógico ya no se efectúa

Más detalles

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática Tema 1 Introducción. Arquitectura básica y Sistemas Operativos Fundamentos de Informática Índice Descripción de un ordenador Concepto básico de Sistema Operativo Codificación de la información 2 1 Descripción

Más detalles

Osciloscopio Funciones

Osciloscopio Funciones Uso del osciloscopio para determinar las formas de onda Uno de los procedimientos para realizar diagnósticos acertados, en las reparaciones automotrices, es el buen uso del osciloscopio. Este instrumento

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

En el presente capítulo se describe la programación del instrumento virtual y cómo

En el presente capítulo se describe la programación del instrumento virtual y cómo Capítulo 6. Instrumentación virtual En el presente capítulo se describe la programación del instrumento virtual y cómo éste controla el circuito de captura de señales, la llamada telefónica y escribe los

Más detalles

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos).

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria Típica. Los datos almacenados en memoria tienen que pasar, en un momento

Más detalles

COPIAS DE SEGURIDAD AUTOMÁTICAS DE DIRECCIONES CALLEÇPAÑA

COPIAS DE SEGURIDAD AUTOMÁTICAS DE DIRECCIONES CALLEÇPAÑA COPIAS DE SEGURIDAD AUTOMÁTICAS DE DIRECCIONES CALLEÇPAÑA Autor: Carlos Javier Martín González. Licenciado en Física Teórica por la Universidad Autónoma de Madrid. Analista programador y funcional. Desarrollador

Más detalles

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES.

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES. 1.- a) Explica qué es un bit de información. Qué es el lenguaje binario? Bit es la abreviatura de Binary digit. (Dígito binario). Un bit es un dígito del lenguaje binario que es el lenguaje universal usado

Más detalles

TABLA DE DECISION. Consideremos la siguiente tabla, expresada en forma genérica, como ejemplo y establezcamos la manera en que debe leerse.

TABLA DE DECISION. Consideremos la siguiente tabla, expresada en forma genérica, como ejemplo y establezcamos la manera en que debe leerse. TABLA DE DECISION La tabla de decisión es una herramienta que sintetiza procesos en los cuales se dan un conjunto de condiciones y un conjunto de acciones a tomar según el valor que toman las condiciones.

Más detalles

CAPITULO V. Cuando hablamos de los lenguajes de programación nos referimos a diferentes formas en las que se puede escribir el programa del usuario.

CAPITULO V. Cuando hablamos de los lenguajes de programación nos referimos a diferentes formas en las que se puede escribir el programa del usuario. CAPITULO V Programación del PLC Introducción Cuando hablamos de los lenguajes de programación nos referimos a diferentes formas en las que se puede escribir el programa del usuario. Los software actuales

Más detalles

EXAMEN DE SEPTIEMBRE DE CIRCUITOS ELECTRÓNICOS. CURSO 2007/08. PROBLEMA DEL PRIMER PARCIAL

EXAMEN DE SEPTIEMBRE DE CIRCUITOS ELECTRÓNICOS. CURSO 2007/08. PROBLEMA DEL PRIMER PARCIAL EXAMEN DE SEPTIEMBRE DE CIRCUITOS ELECTRÓNICOS. CURSO 27/8. PROBLEMA DEL PRIMER PARCIAL Se desea diseñar un sistema para jugar a Piedra, papel o tijera. Como se sabe, en este juego cada uno de los dos

Más detalles

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Componentes básicos de memorización

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Componentes básicos de memorización Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 17. Componentes básicos b de memorización Existe La necesidad de memorizar información obliga

Más detalles

PROBLEMAS DE FUNDAMENTOS DE TECNOLOGÍA DE COMPUTADORES T5. MEMORIAS

PROBLEMAS DE FUNDAMENTOS DE TECNOLOGÍA DE COMPUTADORES T5. MEMORIAS PROBLEMAS DE FUNDAMENTOS DE TECNOLOGÍA DE COMPUTADORES T5. MEMORIAS Tema 5 Memorias Hoja: 2 / 14 Base teórica La memoria es el lugar en el que se almacenan las instrucciones y los datos para que se puedan

Más detalles

podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que el

podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que el CAPÍTULO 4 Funcionamiento del Robot Después de analizar paso a paso el diseño y funcionamiento de la interfase, nos podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que

Más detalles