LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010

Tamaño: px
Comenzar la demostración a partir de la página:

Download "LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010"

Transcripción

1 LAB 5 Tarjeta de Desarrollo Spartan-3 Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010

2 Objetivos Generales Controlar dispositivos mediante una FPGA. Conocer la interfaz PS/2 para conexión de un teclado. Aprender el menejo de: pulsadores, interruptores, leds, display de 7 segmentos.

3 Interfaz PS/2 Permite conectar periféricos teclado y mouse. Protocolo de comunicación serial bidireccional. Para teclados se garantiza el conjunto 2 de los scan codes. Responden a todos los comandos enviados, sin embargo no actúan en todos ellos.

4 Socket y Pinout Pin 1: Data Pin 2: Reservado Pin 3: Ground Pin 4: Vcc (+5V) Pin 5: Clock Pin 6: Reservado

5 Comunicación Bidireccional Estado IDLE: Data y Clock en alto Estado INHIBIT: Data en alto y Clock en bajo Estado REQUEST TO SEND: Data en bajo y Clock en alto

6 PS/2 Frame (1) 1 bit de partida: siempre es 0. 8 bits de datos: LSB a MSB. 1 bit de paridad (impar) 1 bit de parada: siempre es 1. 1 bit de ack: sólo para comunicación host teclado/mouse.

7 PS/2 Frame (2)

8 Scan Code (1) Hay varios tipos de scan codes, el más popular (por omisión) es el número 2. Cada tecla tiene asociado un scan code compuesto por: make code, que se emite al presionar una tecla breake code, que se emite al soltar la tecla

9 Scan Code (2): Ejemplos Key Make Break ASCII A 1C F0,1C 41 B 32 F0,32 42 C 21 F0,21 43 D 23 F0,23 44 E 24 F0,24 45 F 2B F0,2B 46 G 34 F0,34 47 H 33 F0,33 48 I 43 F0,43 49

10 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc kbs value 0 xxxxxxxx

11 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc 0 x x x x x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

12 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc 0 0 x x x x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

13 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

14 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

15 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

16 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

17 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

18 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x kbs value 0 xxxxxxxx

19 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x kbs value 0 xxxxxxxx

20 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x kbs value 0 xxxxxxxx

21 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x kbs value 0 xxxxxxxx

22 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x kbs value 0 xxxxxxxx

23 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x kbs value 0 xxxxxxxx

24 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x kbs value 0 xxxxxxxx

25 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x kbs value 0 xxxxxxxx

26 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x kbs value 0 xxxxxxxx

27 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x kbs value 0 xxxxxxxx

28 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x kbs value 0 xxxxxxxx

29 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x kbs value 0 xxxxxxxx

30 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x kbs value 0 xxxxxxxx

31 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc kbs value 1 xxxxxxxx

32 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc kbs value 0 1C

33 PS/2 Driver Verilog (2a) module kbd(rst, clk, kd, kc, kbs, value); input rst, clk, kd, kc; // reset, clock, k-data, k-clock output reg kbs; // keyboard stroke (negedge) output reg [7:0] value; // scan code // // -- Signal Declarations // reg kdi, kci; reg dff1, dff2; reg [10:0] ShiftRegSig1; reg [10:1] ShiftRegSig2; reg kbs_tmp;

34 PS/2 Driver Verilog (2b) // // -- Module Implementation // // --Flip Flops used to condition signals coming from PS2-- (posedge clk or posedge rst) begin if (rst == 1) begin dff1 <= 0; dff2 <= 0; kdi <= 0; kci <= 0; end else begin dff1 <= kd; kdi <= dff1; dff2 <= kc; kci <= dff2; end end

35 PS/2 Driver Verilog (2c) // --Shift Registers used to clock in scan codes from PS2-- kci or posedge rst) begin if (rst == 1) begin ShiftRegSig1 <= 11'b ; ShiftRegSig2 <= 10'b ; end else begin ShiftRegSig1[10:0] <= {kdi, ShiftRegSig1[10:1]}; ShiftRegSig2[10:1] <= {ShiftRegSig1[0], ShiftRegSig2[10:2]}; end end

36 PS/2 Driver Verilog (2d) // --Wait for scan code rst or posedge kci) begin if (rst == 1) begin value <= 8'b ; kbs_tmp <= 0; end else if (ShiftRegSig2[9:2] == 8'b ) begin value <= value; kbs_tmp <= 1; end else if (ShiftRegSig2[8:1] == 8'b ) begin value <= ShiftRegSig1[8:1]; kbs_tmp <= 0; end end clk) kbs <= kbs_tmp; endmodule

37 Ejemplo Uso Driver PS/2 module kbduse(rst, clk, kd, kc, led); input rst, clk, kd, kc; output reg [7:0] led; wire [7:0] value; kbd kbd0(rst, clk, kd, kc, kbs, value); (negedge rst or negedge kbs) if (rst == 0) led <= 0; else led <= led + 1; endmodule

38 Display 7 segmentos 4 dígitos (7 segmentos). No dispone de conversores BCD / 7 segmentos. Ánodo común. 12 salidas para controlar el display. Se debe multiplexar en el tiempo para poder ver números de más de 1 dígito.

39 Configuración del Display

40 Conexiones

41 Driver Verilog BCD/7Seg. module ssdec(val, pt, type, ssg); input [3:0] val; // binary value input pt, type; // point, display type (0: anode, 1: cathode) output [7:0] ssg; // segments assign ssg = ((type == 1)? 8'h0 : 8'hff) ^ ( (val == 0)? {pt, 7'b } : (val == 1)? {pt, 7'b } : (val == 2)? {pt, 7'b } : (val == 3)? {pt, 7'b } : // (val == 11)? {pt, 7'b } : (val == 12)? {pt, 7'b } : (val == 13)? {pt, 7'b } : (val == 14)? {pt, 7'b } : {pt, 7'b }); endmodule

42 Driver Verilog Display 7Seg. (1) module display(clk, num, type, pts, sseg, an); input clk, type; input [3:0] pts; input [15:0] num; output [7:0] sseg; output reg [3:0] an; // fill in. endmodule

43 Contador BCD module bcdcounter(rst, clk, value); input rst, clk; output reg [15:0] value; // reset, clock // 4 bcd digits clk or posedge rst) begin if (rst == 1) value <= 0; else begin if (value[3:0] == 9) begin value[3:0] <= 0; if (value[7:4] == 9) begin value[7:4] <= 0; if (value[11:8] == 9) begin value[11:8] <= 0; if (value[15:12] == 9) value[15:12] <= 0; else value[15:12] <= value[15:12] + 1; end else value[11:8] <= value[11:8] + 1; end else value[7:4] <= value[7:4] + 1; end else value <= value + 1; end end endmodule

44 Uso de Pulsadores, Interruptores y leds module (btn, swt, led); input [0:3] btn; input [0:7] swt; output [0:7] led; assign led[0:3] = btn; assign led[4:7] = swt[0:3]; endmodule

45 Trabajo Previo (1) Diseño de un módulo para visualizar una cuenta en el display Completar diseño del módulo display. Usar display para mostrar tiempo MM.SS. Hacer simulación funcional y temporal. Módulo para uso de leds, interruptores y pulsadores. Leds reflejan el estado del interruptor. Pulsador 0: enciende todos los leds. Pulsador 1: apaga todos los leds. Pulsador 2: invierte estado de los leds. Hacer simulación funcional.

46 Trabajo Previo (2) Diseño de un módulo que permita: Visualizar scan codes de teclado en display 7 segmentos, dígitos menos significativos. Visualizar el valor de teclas numéricas en los dígitos más significativos, o FF si no es número.

47 En el Laboratorio Revisión actividades previas. Sintetizar y demostrar el funcionamiento del módulo reloj en formato MM.SS. Sintetizar el módulo para uso de pulsadores, interruptores y leds. Demostrar el funcionamiento del módulo que despliega scan codes del teclado PS/2. Diseño de una máquina digital.

48 Máquina Digital (1) Op Contenido del display y leds Contador BCD ascendente X Hz. Contador BCD descendente Y Hz. Contador ascendente binario de X Hz. Contador descendente binario de Y Hz. Cuenta el número de teclas presionadas.

49 Máquina Digital (2) Op Contenido del display y leds Número de repeticiones de una tecla. 2MSD op. 0, 2LSD op. 1. Display off, se mantienen las cuentas. Leds pestañean X Hz, se mantienen las cuentas. Comportamiento dinámico para leds y puntos.

50 Máquina Digital (2) Op Contenido del display y leds 2LSD último pulsador (0-3); mantiene cuentas. Tiempo [ms] entre 2 eventos PS/2, máx Tiempo transcurrido en MM.SS.

51 Máquina Digital (3) Pulsador Descripción operación Resetea todas las cuentas. Display rotate right (eg. 1): Display rotate left (eg. 1): Blinking leds at Y Hz.

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Aprender el uso de dispositivos controlados por una FPGA en una tarjeta de desarrollo. Conocer la interfaz PS/2, el protocolo de comunicación y

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn PicoBlaze(3) Interfaces de Entrada/Salida Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn Temario Esta clase contiene: Interface de entrada/salida en PicoBlaze Ejemplo 1: a 2 +b

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA UTFSM Noviembre 2003 APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA 1. INTRODUCCIÓN En este documento se encuentra toda la información

Más detalles

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática Paulino Ruiz de Clavijo Vázquez

Más detalles

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO Depuración de señales CIRCUITOS SISO Circuito SISO Circuito SIPO Circuito PISO DEPURACIÓN DE SEÑALES Cuando tenemos muchas señales (o cables) es difícil depurar el comportamiento del sistema simplemente

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos generales

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

tema 6: pulsadores, teclados, ratones

tema 6: pulsadores, teclados, ratones 1 tema 6: pulsadores, teclados, ratones Diseño o Automático tico de Sistemas José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática tica Universidad Complutense de Madrid 2 interruptores

Más detalles

Tema 9 Periféricos de Entrada

Tema 9 Periféricos de Entrada Tema 9 Periféricos de Entrada Periféricos e Interfaces Tema 9/1 Contenido TECLADOS DISPOSITIVOS APUNTADORES DIGITALIZADORES Teclados Tecnología y Tipos Estructura Funcionalidad Interfaz al computador:

Más detalles

PIC MICRO ESTUDIO Display de 2 y4 Dígitos RS-232 (Clave 701 y 702) www.electronicaestudio.com. Guía de Uso

PIC MICRO ESTUDIO Display de 2 y4 Dígitos RS-232 (Clave 701 y 702) www.electronicaestudio.com. Guía de Uso PIC MICRO ESTUDIO Display de 2 y4 Dígitos RS-232 (Clave 701 y 702) www.electronicaestudio.com Guía de Uso Ing. Wilfrido González Bonilla Display de 2 y4 Dígitos RS-232 con el PIC16F84 Son innumerables

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

TEMA 7. Registros y contadores

TEMA 7. Registros y contadores TEMA 7. Registros y contadores 1. Registros 1.1. Definición. Sistemas secuenciales síncronos formados por agrupaciones de biestables. Capacidad de almacenamiento simultaneo de varios bits. Capacidad de

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Enunciados de Prácticas de Laboratorio Estructura de Computadores Nota: Los archivos

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Registros. Buses. Memorias.

Registros. Buses. Memorias. Capítulo 5 egistros. Buses. Memorias. 5.. egistros. Se denomina registro a una serie de flip-flops agrupados y con señales de control común. Se considera que se leerán o escribirán como una unidad. Se

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Práctica Sistemas Digitales Alarma domótica

Práctica Sistemas Digitales Alarma domótica Práctica Sistemas Digitales Alarma domótica David Guerra Martín Roman Valls Guimerà Daniel Clemente Laboreo SDMI 22 E Abril 2005 Índice Planteamiento del problema...3 Diagrama de bloques......3 Elementos

Más detalles

PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS

PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS 1. Objetivo El objetivo de esta práctica es estudiar el funcionamiento de los contadores síncronos construidos a partir de biestables, y aprender cómo se pueden

Más detalles

PRÁCTICA DE SÍNTESIS nº2

PRÁCTICA DE SÍNTESIS nº2 PRÁCTICA DE SÍNTESIS nº2 Sistemas secuenciales: detector de trama y contador 1.- Introducción En esta práctica hay que implementar un detector de trama a partir de una máquina tipo Mealy. El detector debe

Más detalles

GUÍA RÁPIDA MÓDULOS TEMPERATURA CJ1W-TC001/004 CJ1W-TC101/104

GUÍA RÁPIDA MÓDULOS TEMPERATURA CJ1W-TC001/004 CJ1W-TC101/104 GUÍA RÁPIDA MÓDULOS CJ1W-TC001/004 CJ1W-TC101/104 ESTE MANUAL CONTIENE: 1. INTRODUCCIÓN 2. CARACTERÍSTICAS 3. CABLEADO 4. CONFIGURACIÓN HARDWARE 5. CONFIGURACIÓN SOFTWARE 6. PROGRAMAS EJEMPLO OMRON ELECTRONICS,

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2012 Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA.

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. Características Técnicas: FPGA de Xilinx XC3S400 ó XC3S1000 ISP PROM XCF02S ó XCF04S Memoria RAM estática: 2Mbytes Memoria FLASH: 4 Mbytes Temperatura

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

Memoria. Diseño de Sistemas con FPGA Patricia Borensztejn

Memoria. Diseño de Sistemas con FPGA Patricia Borensztejn Memoria Diseño de Sistemas con FPGA Patricia Borensztejn ( Spartan-3 ) Memoria La Spartan-3 conjuntamente con el S3 board proveen varias opciones de almacenamiento: Registros (FF): aprox. 4.5K bits (4320

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

MANUAL DE USUARIO NODO DEVICENET UNICAUCA

MANUAL DE USUARIO NODO DEVICENET UNICAUCA INTRODUCCIÓN El nodo DeviceNet diseñado e implementado en la universidad del cauca, es un dispositivo funcional con características similares a las de un dispositivo industrial, permite conectar sensores

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Latches, flipflops y registros

Latches, flipflops y registros Departamento de Electrónica Electrónica Digital Latches, flipflops y registros Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Circuitos secuenciales 1 Circuitos secuenciales Salida

Más detalles

5.2. Sistemas de codificación en binario

5.2. Sistemas de codificación en binario 5.2. Sistemas de codificación en binario 5.2.1. Sistemas numéricos posicionales [ Wakerly 2.1 pág. 26] 5.2.2. Números octales y hexadecimales [ Wakerly 2.2 pág. 27] 5.2.3. Conversión general de sistemas

Más detalles

Proyecto de Electrónica. Contador digital de 0 a 9

Proyecto de Electrónica. Contador digital de 0 a 9 Proyecto de Electrónica Contador digital de 0 a 9 La finalidad del proyecto consiste en mostrar en un display un conteo de cero a nueve, donde la velocidad de conteo podrá ser regulada. Componentes a utilizar

Más detalles

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 Objetivo: Diseño e implementación de un cronómetro digital usando la herramienta de diseño Xilinx Foundation. El diseño se realizará con captura de

Más detalles

Constructor Virtual y Simulador de Circuitos Digitales con Chips TTL

Constructor Virtual y Simulador de Circuitos Digitales con Chips TTL Constructor Virtual y Simulador de Circuitos Digitales con Chips TTL Manual de Usuario (Versión 0.9.7) Ing. Arturo J. Miguel de Priego Paz Soldán www.tourdigital.net Chincha Perú, 24 de mayo de 2011 Este

Más detalles

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1, Segundo Semestre

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

Evolution MK-261 MANUAL PARA EL TECLADO MIDI

Evolution MK-261  MANUAL PARA EL TECLADO MIDI Evolution MK-261 www.evolution.co.uk MANUAL PARA EL TECLADO MIDI 1. SUMINISTRO DE CORRIENTE 1.1 Tarjeta de sonido como fuente de potencia Utilizando el cable conector suministrado, conecte el conector

Más detalles

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma.

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma. KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS Luces secuenciales con efecto de scanner o simulador de alarma. Tabla de Contenido DEFINICIÓN FUNCIONAMIENTO LISTA DE PARTES ENSAMBLE REFERENCIAS DEFINICIÓN

Más detalles

SSI INFORMACIÓN GENERAL ENCODERS ABSOLUTOS Y ABSOLUTOS PROGRAMABLES

SSI INFORMACIÓN GENERAL ENCODERS ABSOLUTOS Y ABSOLUTOS PROGRAMABLES INFORMACIÓN GENERAL SSI ENCODERS ABSOLUTOS Y ABSOLUTOS PROGRAMABLES En muchos casos los datos transmitidos de un sistema a otro están sometidos a campos magnéticos, ruidos, al utilizar un interface estándar

Más detalles

Microprocesadores, Tema 8:

Microprocesadores, Tema 8: Microprocesadores, Tema 8: Periféricos de Comunicación Síncronos Guillermo Carpintero Marta Ruiz Universidad Carlos III de Madrid Standard de Comunicación Protocolos Standard de Comunicación Serie Síncrona

Más detalles

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16. CONTADORES Son circuitos digitales lógicos secuenciales de salida binaria o cuenta binaria, caracteristica de temporizacion y de memoria, por lo cual están constituidos a base de flip-flops. CARACTERISTICAS

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

G O B L I N 2 / M A N U A L

G O B L I N 2 / M A N U A L GOBLIN 2 Con esta guía conocerás cada uno de los circuitos que incorpora la GOBLIN 2. Aprenderás a utilizarla, programarla de una forma sencilla y rápida para desarrollar tu proyecto IoT. 2 1.- Empieza

Más detalles

AUTOMATIZACIÓN INDUSTRIAL

AUTOMATIZACIÓN INDUSTRIAL Departamento de Ingenieria de Sistemas y Automática AUTOMATIZACIÓN INDUSTRIAL 1 AUTOMATIZACION INDUSTRIAL 2 AUTOMATIZACION INDUSTRIAL 3 AUTOMATAS PROGRAMABLES Surgen de la necesidad de controlar automáticamente

Más detalles

EDU_NA005 Mouse PS/2 Emulado por el EDUKIT08...

EDU_NA005 Mouse PS/2 Emulado por el EDUKIT08... COMENTARIO TECNICO Mundo EDUKIT08 Por: Ing. Roberto Simone Ingeniero de aplicaciones Freescale robertosimone@arnet.com.ar Ing. Daniel Di Lella D.F.A.E www.edudevices.com.ar dilella@arnet.com.ar (MDO_EDUKIT_013)

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

Programación en C para plataforma CADmega128, basada en microcontrolador Atmel megaavr

Programación en C para plataforma CADmega128, basada en microcontrolador Atmel megaavr Laboratorio Equipos y Sistemas de Control Digital Guía Laboratorio Nº 1 Programación en C para plataforma CADmega128, basada en microcontrolador Atmel megaavr En esta experiencia se verá en forma aplicada

Más detalles

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales : Diseño de un Divisor de Frecuencia Alumno: Jesús Alfredo Hernández Alarcón Profesor: M.I. Norma Elva Chávez

Más detalles

PLC CONTROLADOR LOGICO PROGRAMABLE

PLC CONTROLADOR LOGICO PROGRAMABLE PLC CONTROLADOR LOGICO PROGRAMABLE PLC Los Controladores Lógicos Programables o PLC (Programmable Logic Controller por sus siglas en inglés) son dispositivos ampliamente usados en la Automatización Industrial.

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración Objetivo: Familiarizarse

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

Proyecto 3: CONTROL DE VELOCIDAD DE UN MOTOR DC Y TRANSMISIÓN DE DATOS

Proyecto 3: CONTROL DE VELOCIDAD DE UN MOTOR DC Y TRANSMISIÓN DE DATOS UNIVERSIDAD DEL VALLE DE GUATEMALA COLEGIO UNIVERSITARIO Proyecto 3: CONTROL DE VELOCIDAD DE UN MOTOR DC Y TRANSMISIÓN DE DATOS Guatemala, Abril 2012 Oscar Reyes, Carnet: 09565 Pablo Azurdia, Carnet: 08308

Más detalles

2.1 Características Técnicas

2.1 Características Técnicas 2.1 Características Técnicas 2.1.1 Tensión de la alimentación auxiliar... 2.1-2 2.1.2 Cargas... 2.1-2 2.1.3 Entradas de intensidad... 2.1-2 2.1.4 Entradas de tensión... 2.1-3 2.1.5 Exactitud en la medida

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Pantalla XP Conectada con un PLC FATEK. CONFIGURACIÓN DE LA PANTALLA XP DE LG PARA COMUNICARSE CON UN PLC FATEK A TRAVES DE MODBUS

Pantalla XP Conectada con un PLC FATEK. CONFIGURACIÓN DE LA PANTALLA XP DE LG PARA COMUNICARSE CON UN PLC FATEK A TRAVES DE MODBUS Pantalla XP Conectada con un PLC FATEK. CONFIGURACIÓN DE LA PANTALLA XP DE LG PARA COMUNICARSE CON UN PLC FATEK A TRAVES DE MODBUS 1. Haga click en FILE, ubicado en la barra de herramientas. 2. Haga click

Más detalles

OPENCOCKPITS IOCards USBExpansion + Master MANUAL DE INSTALACION Y USO

OPENCOCKPITS IOCards USBExpansion + Master MANUAL DE INSTALACION Y USO OPENCOCKPITS MANUAL DE INSTALACION Y USO INTRODUCCION Debido a la actualización de los ordenadores y a la progresiva desaparición de los puertos paralelos en los PC s, no podemos dar más soporte a las

Más detalles

M-Bus Master MultiPort 250D

M-Bus Master MultiPort 250D M-Bus Master MultiPort 250D Lectura remota vía M-Bus Hasta 250 contadores por M-Bus Master y en cascada, hasta 1250 contadores en un sistema Soporte de direccionamiento primario, secundario, secundario

Más detalles

Sistemas Digitales. Circuitos Codificadores

Sistemas Digitales. Circuitos Codificadores Sistemas Digitales Circuitos Codificadores Se definen como tal, a circuitos combinacionales que tienen 2 n entradas y n salidas, aunque en algunos casos prácticos, suelen tener menos entradas. A cada una

Más detalles

UART. Diseño de Sistemas con FPGA 2 o cuatrimestre 2011 Patricia Borensztejn

UART. Diseño de Sistemas con FPGA 2 o cuatrimestre 2011 Patricia Borensztejn UART Diseño de Sistemas con FPGA 2 o cuatrimestre 2011 Patricia Borensztejn UART Universal Asynchronous receiver and transmitter: dispositivo que envía datos paralelos sobre una línea serie. RS-232: standard

Más detalles

A continuación se mostrarán ejemplos de tres clases de códigos: numéricos, alfanuméricos y de despliegue.

A continuación se mostrarán ejemplos de tres clases de códigos: numéricos, alfanuméricos y de despliegue. Capítulo 3 1 Codificación binaria 3.1. Codificación En un ambiente de sistemas digitales se denomina codificación a la asignación de un significado a una configuración de bits. Al modelar problemas es

Más detalles

El módulo LCD Ejemplos de funcionamiento

El módulo LCD Ejemplos de funcionamiento SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS PRACTICAS DE MICROCONTROLADORES PIC PRÁCTICA 7: El módulo LCD El módulo LCD Ejemplos de funcionamiento - 1 - 1. Objetivos: - Conocer el funcionamiento y programación

Más detalles

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Universal Asynchronous receiver and transmitter: dispositivo (controlador ) que envía datos paralelos sobre una línea serie.

Más detalles

Manual de Usuario TMU-V1

Manual de Usuario TMU-V1 @-sa.com www.-sa.com Manual de Usuario, S.A. Pag. 1 de 10 @-sa.com www.-sa.com Manual de Usuario Indice: 1.0.- Descripción: 2.0.- Características: 2.1.- Componentes básicos 3.0.- Descripción de funcionamiento

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

Usando los Codificadores Cuadráticos

Usando los Codificadores Cuadráticos Usando los Codificadores Cuadráticos Autor: Ing. Carlos Narváez Universidad de Oriente email: cnarvaez@udo.edu.ve Introducción El presente trabajo es una introducción a los denominados codificadores cuadráticos,

Más detalles

13/10/2013. Clase 02: Sistemas de Numeración. Sistemas Digitales y Arquitectura de Computadoras. Ing. Christian Lezama Cuellar.

13/10/2013. Clase 02: Sistemas de Numeración. Sistemas Digitales y Arquitectura de Computadoras. Ing. Christian Lezama Cuellar. Clase 02: Sistemas de Numeración Ing. Christian Lezama Cuellar Semestre 2013-I Sistemas Digitales y Arquitectura de Computadoras 1 Conjunto de números que se relacionan para expresar la relación existente

Más detalles

GUÍA DE REALIZACIÓN DE LAS PRÁCTICAS

GUÍA DE REALIZACIÓN DE LAS PRÁCTICAS GUÍA DE REALIZACIÓN DE LAS PRÁCTICAS UTILIZANDO LA PLACA DE2 DE ALTERA Sistemas Digitales Programables Ricardo J. Colom Palero, Rafael Gadea Girones y Vicente Herrero Bosch Universitat Politècnica de València

Más detalles

EJERCICIOS CON ARDUINO LED ENCENDIDO. Se conecta el Led al pin 52 y a alimentación. Compilar Se enciende el LED.

EJERCICIOS CON ARDUINO LED ENCENDIDO. Se conecta el Led al pin 52 y a alimentación. Compilar Se enciende el LED. EJERCICIOS CON ARDUINO LED ENCENDIDO Se conecta el Led al pin 52 y a alimentación. Compilar Se enciende el LED. MARÍA TERESA CUESTA CALVO M03-ARDUINO PROYECTOS VARIOS 1 / 23 LED BICOLOR Led bicolor. Conectado

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

COC 30 REGULADOR DIGITAL PARA HORNOS DE COCCIÓN

COC 30 REGULADOR DIGITAL PARA HORNOS DE COCCIÓN versión.: COC 30 v.1.0 COC 30 REGULADOR DIGITAL PARA HORNOS DE COCCIÓN El COC 30 es un regulador digital diseñado para el control en hornos de cocción, especialmente hornos de pan y pizza. La característica

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Prueba del Driver ModBus

Prueba del Driver ModBus Prueba del Driver ModBus Prueba de la placa Elementos y Conexiones Elementos: - Placa 232-485 - Driver ModBus - Master SPI (µc en protoboard, ver más abajo) - PC + software de prueba dedicado, o genérico

Más detalles

Teclado con protocolo de comunicación universal aplicado a máquina de CNC

Teclado con protocolo de comunicación universal aplicado a máquina de CNC Ingeniería Investigación y Tecnología, volumen XV (número 2), abril-junio 2014: 209-220 ISSN 1405-7743 FI-UNAM (artículo arbitrado) Teclado con protocolo de comunicación universal aplicado a máquina de

Más detalles

3R: UNA ALTERNATIVA DE MÓDULOS PERIFERICOS

3R: UNA ALTERNATIVA DE MÓDULOS PERIFERICOS 3R: UNA ALTERNATIVA DE MÓDULOS PERIFERICOS Juan Antonio Jaramillo Gómez UPIITA-IPN jantonioj@yahoo.com, jjaramillo@ipn.mx Mirna Salmerón Guzmán UPIITA-IPN yupisg2@yahoo.com.mx Brahím El FILALI UPIITA-IPN

Más detalles

7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC).

7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC). 7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC). ÍNDICE 7.1. Introducción. Interfaces entre el mundo digital y el analógico. 7.2. Convertidores DAC. Características de funcionamiento.

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

Sistemas de Numeración Operaciones - Códigos

Sistemas de Numeración Operaciones - Códigos Sistemas de Numeración Operaciones - Códigos Tema 2 1. Sistema decimal 2. Sistema binario 3. Sistema hexadecimal 4. Sistema octal 5. Conversión decimal binario 6. Aritmética binaria 7. Complemento a la

Más detalles

CONTROLADOR ARTEMA DE NIVEL 2 EMV. La solución modular para el nivel 2 de EMV

CONTROLADOR ARTEMA DE NIVEL 2 EMV. La solución modular para el nivel 2 de EMV Ref. CN2EMV 1/12 La solución modular para el nivel 2 de EMV CERTIFICACIONES NIVEL 1 EMV TQM CE RoHS (libre de plomo) APLICACIONES Vías Manuales y Automáticas de peaje Cajeros Automáticos Bancarios Cajeros

Más detalles

2, Detallar los diversos tipos de Flip Flop

2, Detallar los diversos tipos de Flip Flop Profesor/a(s) Ramon Flores Pino Nivel o Curso/s 4º D Unidad/Sub Unidad 3.- Circuitos de lógica Secuencial GUÍA Nº Contenidos 1.- Temporizador 555 2. Flip Flops, Contadores Aprendizajes Esperados 1 Explicar

Más detalles

LCDsalfanuméricos. La mayoría están basados en el controlador Hitachi HD44780

LCDsalfanuméricos. La mayoría están basados en el controlador Hitachi HD44780 LCDsalfanuméricos La mayoría están basados en el controlador Hitachi HD4478 Máximo 2 líneas de 4 caracteres Algunas pantallas están «plegadas» y tienen 4 líneas de 2 caracteres El controlador sólo tiene

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC).

7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC). 7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC). ÍNDICE 7.1. Introducción. Interfaces entre el mundo digital y el analógico. 7.2. Convertidores DAC. Características de funcionamiento.

Más detalles

GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS TRANSFERENCIA ENTRE REGISTROS OBETIVO El lenguaje

Más detalles

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC PROGRAMACIÓN DE PLC Procedimiento para programar y cargar: Determinar los requisitos del sistema al cual se aplica el PLC. Identificar los

Más detalles

Principios básicos de PLC y familia DirectLogic

Principios básicos de PLC y familia DirectLogic Principios básicos de PLC y familia DirectLogic Introducción El Controlador Lógico Programable (PLC) es una tecnología muy difundida para hacer automatización de procesos secuenciales, surgió como solución

Más detalles

CI 49 CONTADOR DIGITAL DE IMPULSOS 48 X 48 1 O 2 SALIDAS, 2 MODOS DE CUENTA, 2 ENTRADAS DIGITALES PARA CNT Y RESET

CI 49 CONTADOR DIGITAL DE IMPULSOS 48 X 48 1 O 2 SALIDAS, 2 MODOS DE CUENTA, 2 ENTRADAS DIGITALES PARA CNT Y RESET versión.: CI.49 v.1.0 CI 49 CONTADOR DIGITAL DE IMPULSOS 48 X 48 1 O 2 SALIDAS, 2 MODOS DE CUENTA, 2 ENTRADAS DIGITALES PARA CNT Y RESET CARACTERÍSTICAS TÉCNICAS CARACTERÍSTICAS MECÁNICAS Material Carcasa

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles