Dispositivos de Memoria

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Dispositivos de Memoria"

Transcripción

1 Práctica No. 2 Dispositivos de Memoria Datos de la práctica Carrera INGENIERIA ELECTRONICA Semestre Grupo Tipo Practica Laboratorio Simulación Fecha Asignatura Unidad Temática No Alumnos por practica 2 No. Alumnos por reporte 2 Nombre del Profesor Nombre(s) de Alumno(s) Tiempo estimado Comentarios Vo. Bo Del Profesor OBJETIVOS: Objetivo General Determinar la capacidad de un dispositivo de memoria con base en sus entradas y salidas. Combinar ICs de memoria para formar módulos de memoria con un tamaño de palabra más grande y/o mayor capacidad Objetivos Específicos 1. Comprender y utilizar en forma correcta la terminología asociada con los sistemas de memoria 2. Distinguir entre los diversos tipos de ROM y citar algunas aplicaciones comunes 3. Utilizar el programa Circuit Maker para simular circuitos digitales de memoria ROM o memoria RAM. MEDIOS A UTILIZAR: Laboratorio de Simulación PC Software ISE, Adept y Circuit Maker. Kit FPGA Basys 2. 1

2 INTRODUCCION La memoria es un medio físico capaz de almacenar información (programas y datos) de forma temporal o permanente. Aunque conceptualmente parezcan sencillas, presentan una gran variedad de tipos, tecnología, estructura, prestaciones y costos. Ninguna tecnología es óptima para satisfacer todas las necesidades de un computador, por lo que existe una jerarquía de subsistemas de memoria. La capacidad de la memoria es una forma de especificar la cantidad de bits que puede almacenar un dispositivo de memoria. La capacidad se puede expresar como el producto: número de palabras x tamaño de la palabra o por una cantidad específica de bits. El arreglo de las memorias indica que está compuesta por n palabras de m bits cada una. Cada una de estas palabras tiene asociado una dirección (código binario) para acceder a ella para escribir o leer un dato. Las designaciones comunes para expresar la capacidad de una memoria son: 1K = 2 10 = M = 2 20 = 1024 K 1G = 2 30 = 1024M 1T = 2 40 = 1024G En esta guía de laboratorio analizaremos de manera general el funcionamiento de un modulo de memoria ROM y RAM, asi mismo seremos capaces de aumentar el tamaño de la palabra y su capacidad. TRABAJO PREVIO 1. Traer la guía de Laboratorio de manera digital. 2. Descargar los Archivos.V subidos en el blog de la asignatura en la sección de la Unidad II 2

3 DESARROLLO O PROCEDIMIENTO: UNIVERSIDAD NACIONAL DE INGENIERIA I Parte Memoria ROM (Modulo de 8X8 usando ISE) 1. Cree un nuevo proyecto en ISE Project Navigator llamado rom8. 2. Recordemos un poco la configuración inicial mostrada en la Figura 1. para poder trabajar con el programa ISE y el Kit FPGA. 3. Agregue una nueva fuente (New Source) usando un modulo verilog (Verilog Module) con el nombre rom8 asignando como entradas las tres líneas de dirección, en este caso serian: [2:0]addr y como salidas las líneas de datos de 8 bits [7:0] M. 4. Después de agregadas las entradas y salidas termine de escribir el código del programa mostrado a continuación: 3

4 module rom8( input [2:0] addr, //Entrada de Direcciones de Memoria output [7:0] M //Salida de Datos ); parameter N=8; //Tamaño de la palabra parameter N_WORDS=8; //Numero de palabras en la memoria reg [N-1:0] rom [0:N_WORDS-1]; parameter data = 'h00c8f9af64956cd4; //Datos almacenados en Memoria parameter IXLEFT = N*N_WORDS -1; //Maximo de Direcciones de Memoria integer i; //wire temp; initial begin for (i=0; i<n_words; i=i+1) rom[i] = data [(IXLEFT-N*i)-:N]; end assign M = rom[addr]; endmodule 5. El módulo de memoria ROM tendrá el siguiente mapa de Memoria y su distribución. (b) (a) Figura 2 (a) Mapa de Memoria (b) Distribución 4

5 6. Despues de verificar la sintaxis del programa usando la opción Check Sintax, realice un archivo.ucf con los nombres de los pines a utilizar en la tarjeta FPGA. 7. Teniendo todos los archivos necesarios compile el programa usando la opción Generate Programming File para poder obtener el archivo.bit. 8. Antes de introducir el archivo.bit a la tarjeta verifique que el jumper este en la opción de PC. 9. Introduzca el archivo.bit usando el software ADEPT. Actividades Visualizacion de los Datos de la Memoria Lineas de Direccion Clear Señal de Cambio Ejercicio 1 Ejercicio 2 Fig. 3 Diagrama de Funcionamiento de la Memoria ROM 1. Compruebe los valores almacenados en las direcciones de Memoria de la ROM. 2. Cambie los valores almacenados dentro de la Memoria y compruebe otra vez. 3. Modifique el programa del modulo de Memoria para que tenga una entrada de habilitación en ALTO (CS). Esto debe realizarse en un nuevo proyecto. II Parte Memoria ROM con generación de líneas de dirección. 1. Después de realizado el primer ejercicio generaremos de manera automática las líneas de dirección mediante un contador de tres bits. Este nuevo proyecto contara de un modulo top llamado romtop, el cual llamara al archivo rom y agregaremos los otros archivos.v pertenecientes al contador, a los archivos antirebotes y al divisor de frecuencias de reloj. (Los Archivos que se agregaran serán dados por el docente asignado) 5

6 2. El archivo romtop tendrá el siguiente código de programa: module romtop( input mclk, input [3:0]btn, output [7:0] ld ); wire [2:0] addr; wire [7:0] M; wire clk25, clk190, clr, go1; wire [3:0] btnd; assign clr = btn[3]; assign ld = M; clkdiv U1 (.clk(mclk),.clr(clr),.clk190(clk190),.clk25(clk25)); debounce4 U2 (.inp(btn),.cclk(clk190),.clr(clr),.outp(btnd)); clock_pulse U3 (.inp(btnd[0]),.cclk(clk25),.clr(clr),.outp(go1)); counter # (.N(3)) U4 (.clr(clr),.clk(go1),.q(addr)); rom8 U5 (.addr(addr),.m(m)); endmodule 3. El diagrama de bloque de este nuevo proyecto quedara de la siguiente forma: btn[0] btn[3] mclk 50 Mhz btn[3] clr debounce4 cclk clk190 clr clkdiv btnd[0] btn[3] clr cclk clk25 clock_pulse btn[3] clr clk go1 addr[2:0] rom8 M[7:4] ld[7:4] counter M[3:0] ld[3:0] 6

7 4. Despues de haber creado y editado el archivo romtop.v agregue los archivos.v usando la opción del click derecho Add Copy of Source. Seleccione los archivos rom8, counter, clkdiv, debounce4 y clock_pulse. 5. Cree un archivo.ucf con las teminales a emplear en este proyecto y luego compílelo para poderlo introducir a la tarjeta FPGA con el ADEPT. Actividades. 1. Compruebe el funcionamiento del ejercicio siguiendo el diagrama de la Figura Explique con sus palabras el desarrollo de todo el ejercicio siguiendo el diagrama de bloques. III Parte Modulo ROM 16 x 8 con Core Generator 1. Cree un nuevo proyecto llamado romcore. Después de creado el proyecto agregue los archivos.v del ejercicio anterior a excepción del archivo rom8. Los archivos a agregar serian: romtop : Archivo top del proyecto counter : Archivo para el contador clkdiv : Divisor de reloj debounce4 : Antirebote clock_pulse : generación de pulso de reloj 2. Cambie en el archivo romtop el numero del contador a 4 bits y el número de líneas de dirección a 4bits. 3. Cree un archivo de texto con el contenido como se muestra en la figura y guárdela como prueba.coe 7

8 4. Para poder generar un core en ISE agreguen un nuevo archivo dándole click derecho encima del archivo romtop en la opción de New Source y seleccionando el tipo de archivo como IP Core Generator. Este archivo se llamara rom8. 5. Despues de seleccionado el archivo usando el IP Core Generator aparecerá la siguiente ventana 6. En esa ventana localicen la librería de Memory Interface Generators seleccionando la opción de Distributed Memory Generator. 7. Luego aparece la ventana de configuración del Core Generator para Memoria, en la cual generaran un modulo de memoria tipo ROM de 16 x 8 y cargaran el archivo prueba.coe generado en el inciso 3. Las siguientes figuras muestran el proceso final. 8

9 9

10 8. Después de seleccionado todo para el modulo de memoria tipo ROM de 16 x 8 denle en la opción generar. Luego de ciertos minutos aparecerá agregado el core a la lista de archivos del proyecto. 9. Antes de generar el archivo.bit haremos unas modificaciones al archivo romtop exactamente en el llamado del archivo rom8 quedando como muestra la siguiente figura. module romtop( input mclk, input [3:0]btn, output [7:0] ld ); wire [3:0] addr; wire [7:0] M; wire clk25, clk190, clr, go1; wire [3:0] btnd; assign clr = btn[3]; assign ld = M; clkdiv U1 (.clk(mclk),.clr(clr),.clk190(clk190),.clk25(clk25)); debounce4 U2 (.inp(btn),.cclk(clk190),.clr(clr),.outp(btnd)); clock_pulse U3 (.inp(btnd[0]),.cclk(clk25),.clr(clr),.outp(go1)); counter # (.N(4)) U4 (.clr(clr),.clk(go1),.q(addr)); rom8 U5 (.a(addr),.spo(m)); endmodule IV. Trabajo a Entregar 1. Salve todos los archivos simulados en memoria que tenga disponible y presente un reporte con lo desarrollado en esta práctica de laboratorio e incluya conclusiones. En total usted deberá tener los siguientes archivos: 1. Modulo de memoria ROM con líneas de dirección usando switch. 10

11 2. Modulo de memoria ROM con líneas de dirección usando switch y entrada de habilitación CS. 3. Modulo de memoria ROM con generación de líneas de dirección mediante contador. 4. Modulo de memoria ROM con generación de líneas generados por el IP Core Generator. 11

Tema: USO DE MEMORIAS RAM Y ROM

Tema: USO DE MEMORIAS RAM Y ROM Tema: USO DE MEMORIAS RAM Y ROM Facultad: Ingeniería Escuela: Electrónica Asignatura: Interfaces y Perifericos Lugar de Ejecución: Fundamentos Generales. Edificio 3 Objetivo general genespecífico Usar

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE 1 SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE tiempo de acceso RAM, algunas veces se usa cerrojo en el Juan Manuel Narváez Sánchez, Carlos Andrés Moreno Tenjica, Estudent Member IEEE

Más detalles

Guía de uso Tarjeta Nexys 2 FPGA Spartan-3E

Guía de uso Tarjeta Nexys 2 FPGA Spartan-3E Tarjeta Nexys 2 FPGA Spartan-3E Ingeniería Eléctrica y Electrónica DIEE Sede Bogotá Facultad de Ingeniería del Departamento Ingeniería Eléctrica y Electrónica. Tarjeta Nexys 2 FPGA Spartan 3-E. Versión

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos generales

Más detalles

PRÁCTICA 4. CONTADORES

PRÁCTICA 4. CONTADORES PRÁCTICA 4. CONTADORES 1. Objetivo En esta práctica se va a estudiar el funcionamiento de los circuitos secuenciales, tomando como ejemplo varios contadores. 2. Material necesario La práctica se realizará

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

CIRCUITOS COMBINACIONALES CON isplever

CIRCUITOS COMBINACIONALES CON isplever CIRCUITOS COMBINACIONALES CON isplever En el siguiente tutorial se describe el procedimiento para crear un diseño digital usando esquemático con el software isplever. Se explicará como implementar la ecuación

Más detalles

INSTITUCIÓN UNIVERSITARIA ANTONIO JOSÉ CAMACHO FACULTAD DE INGENIERÍA. PRACTICA DE LABORATORIO No. 5 MANEJO DE DATOS SERIALES

INSTITUCIÓN UNIVERSITARIA ANTONIO JOSÉ CAMACHO FACULTAD DE INGENIERÍA. PRACTICA DE LABORATORIO No. 5 MANEJO DE DATOS SERIALES INSTITUCIÓN UNIVERSITARIA ANTONIO JOSÉ CAMACHO FACULTAD DE INGENIERÍA INSTRUMENTACIÓN INTELIGENTE 1. OBJETIVOS PRACTICA DE LABORATORIO No. 5 MANEJO DE DATOS SERIALES 1.1 Crear un patrón de señal sencilla

Más detalles

Tema: USO DE MEMORIAS RAM Y ROM

Tema: USO DE MEMORIAS RAM Y ROM Sistemas Digitales. Guía 10 1 Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas digitales Lugar de Ejecución: Fundamentos Generales. Edificio 3. Tema: USO DE MEMORIAS RAM Y ROM Objetivo general

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el Diseño y Simulación de un circuito digital con VHDL, Síntesis e Implementación en un FPGA Profesor: M. C. Felipe Santiago Espinosa

Más detalles

Equipamiento ADSL» Inalámbrico. Adaptador USB PAUTAS PARA LA VERIFICACION TCP/IP

Equipamiento ADSL» Inalámbrico. Adaptador USB PAUTAS PARA LA VERIFICACION TCP/IP Equipamiento ADSL» Inalámbrico Adaptador USB PAUTAS PARA LA VERIFICACION TCP/IP PAUTAS PARA LA VERIFICACIÓN TCP/IP Este documento describe cómo preparar su PC para su conexión a una red inalámbrica después

Más detalles

Pasos para conectar la CPLD al cable JTAG USB

Pasos para conectar la CPLD al cable JTAG USB Pasos para conectar la CPLD al cable JTAG USB 1) El pin VIO, pin 5V van conectados a 5V(No energice aun la CPLD) 2) En el Pin VIO se recomienda poner una resistencia en serie de 330ohms desde 5v al Pin

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales : Diseño de un Divisor de Frecuencia Alumno: Jesús Alfredo Hernández Alarcón Profesor: M.I. Norma Elva Chávez

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

Guía 3 1. Objetivo General Conocer las actividades básicas de gestión de un servidor SCADA.

Guía 3 1. Objetivo General Conocer las actividades básicas de gestión de un servidor SCADA. Guía 3 1 Tema: Gestión del servidor SCADA Lugar de ejecución: Edificio de Electrónica (Laboratorio de ). Tiempo de ejecución: 2hrs. Objetivo General Conocer las actividades básicas de gestión de un servidor

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Aprender el uso de dispositivos controlados por una FPGA en una tarjeta de desarrollo. Conocer la interfaz PS/2, el protocolo de comunicación y

Más detalles

Manual de Instrucción para el usuario del sistema de TELE-MAPA

Manual de Instrucción para el usuario del sistema de TELE-MAPA Manual de Instrucción para el usuario del sistema de TELE-MAPA 1 Índice Índice 2 Introducción... 2 1. PIT Mobile MAPA.. 2 2. Verificar Conexión. 4 3. Descargar de dispositivo. 5 4. Enviar Exámenes. 10

Más detalles

Tema: Configuración de red AD-HOC

Tema: Configuración de red AD-HOC Tema: Configuración de red AD-HOC Contenidos Configuración del servidor AD-HOC. Conexión de una segunda computadora a la red AD-HOC. Compartiendo la conexión a Internet. Objetivo Redes de datos inalámbricas.

Más detalles

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO Depuración de señales CIRCUITOS SISO Circuito SISO Circuito SIPO Circuito PISO DEPURACIÓN DE SEÑALES Cuando tenemos muchas señales (o cables) es difícil depurar el comportamiento del sistema simplemente

Más detalles

Manual de instalación AutoFirma 1.4.3

Manual de instalación AutoFirma 1.4.3 DIRECCIÓN DE TECNOLOGÍAS DE LA INFORMACIÓN Y LAS Manual de instalación 1.4.3 Manual de usuario Índice de contenidos 1 Introducción...3 2 Requisitos mínimos...4 3 Enlaces de descarga...5 4 Instalación...5

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Enunciados de Prácticas de Laboratorio Estructura de Computadores Nota: Los archivos

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02)

COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02) COMUNICACIÓN SIEMENS S7-300 Y MÓDULO ESCLAVO PROFIBUS (DVPPF02) Hardware necesario: PLC Siemens S7-300 (cualquier CPU con puerto Profibus DP) PLC DVP (SA,SX,SE,SV) Módulo Esclavo Profibus para PLC DVP(DVPPF02)

Más detalles

INSTITUTO ELECTORAL DEL ESTADO DE MÉXICO SECRETARÍA EJECUTIVA UNIDAD DE INFORMÁTICA Y ESTADÍSTICA

INSTITUTO ELECTORAL DEL ESTADO DE MÉXICO SECRETARÍA EJECUTIVA UNIDAD DE INFORMÁTICA Y ESTADÍSTICA INSTITUTO ELECTORAL DEL ESTADO DE MÉXICO SECRETARÍA EJECUTIVA UNIDAD DE INFORMÁTICA Y ESTADÍSTICA Guía de uso del Correo Electrónico Institucional Outlook Web App. Noviembre de 2014 Correo Electrónico

Más detalles

Modelos Comprimidos. Modelo de Bloque 3D Standard

Modelos Comprimidos. Modelo de Bloque 3D Standard Modelos Comprimidos Introducción Una vez que ha creado un modelo de bloques en 3D estándar (3DBM), ya tiene una ideas del tamaño y forma generales del cuerpo mineralizado. En este punto, conviene afinar

Más detalles

Práctica 4. Sistemas Digitales. Objetivos particulares

Práctica 4. Sistemas Digitales. Objetivos particulares Práctica 4 Sistemas Digitales Objetivos particulares Durante el desarrollo de esta práctica, el estudiante aplicará un método para obtener las diferentes representaciones de los sistemas digitales binarios,

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

Guía de Firma Digital para Firmador de Hermes Soft. DIRECCIÓN DE CERTIFICADORES DE FIRMA DIGITAL

Guía de Firma Digital para Firmador de Hermes Soft. DIRECCIÓN DE CERTIFICADORES DE FIRMA DIGITAL Guía de Firma Digital para Firmador de Hermes Soft. DIRECCIÓN DE CERTIFICADORES DE FIRMA DIGITAL Fecha Versión Autor(es) Aprobado Descripción 14-10-2015 1.0 Mario Alvarez C. Alexander Barquero, Director

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Guía de uso del programa AVR-Studio

Guía de uso del programa AVR-Studio Guía de uso del programa AVR-Studio El entorno de desarrollo AVR-STUDIO nos permite cargar, ensamblar y depurar los programas que escribimos en lenguaje ensamblador. Los pasos para crear un proyecto nuevo

Más detalles

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase. DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 3 Objetivo Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Módem y red de área local Guía del usuario

Módem y red de área local Guía del usuario Módem y red de área local Guía del usuario Copyright 2009 Hewlett-Packard Development Company, L.P. La información contenida en el presente documento está sujeta a cambios sin previo aviso. Las únicas

Más detalles

CÁMARA IP INALÁMBRICA HD 720p VISIÓN NOCTURNA, AUDIO Y FUNCIÓN DE GRABACIÓN

CÁMARA IP INALÁMBRICA HD 720p VISIÓN NOCTURNA, AUDIO Y FUNCIÓN DE GRABACIÓN CÁMARA IP INALÁMBRICA HD 720p VISIÓN NOCTURNA, AUDIO Y FUNCIÓN DE GRABACIÓN VTA-83700 Gracias por adquirir nuestra CÁMARA! Antes de instalar y operar el producto, se recomienda tener en cuenta las instrucciones

Más detalles

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática Paulino Ruiz de Clavijo Vázquez

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

La plataforma Arduino y su programación en entornos gráficos

La plataforma Arduino y su programación en entornos gráficos La plataforma Arduino y su programación en entornos gráficos Luisa Fernanda García Vargas Flor Ángela Bravo Sánchez Agenda 1 Control de un robot 2 Qué es Arduino? 3 4 El uso de Arduino en robótica Programación

Más detalles

PLAN DE EVALUACIÓN - ACREDITACIÓN

PLAN DE EVALUACIÓN - ACREDITACIÓN PLAN DE EVALUACIÓN - ACREDITACIÓN CAPACITACIÓN: Informática para Oficinas SEMESTRE: QUINTO MÓDULO 3 Creación y Edición de Objetos PERIODO: 2012-2 SUBMÓDULO: Utilizar Software de aplicación para elaborar

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

PROCEDIMIENTO PARA GENERAR LOS REQUERIMIENTOS DE SELLOS DIGITALES

PROCEDIMIENTO PARA GENERAR LOS REQUERIMIENTOS DE SELLOS DIGITALES PROCEDIMIENTO PARA GENERAR LOS REQUERIMIENTOS DE SELLOS DIGITALES 1. Requerimiento de Sellos El Usuario que desee realizar una Solicitud de Sello Digital debe ingresar a la siguiente dirección Web y descargar

Más detalles

Cómo instalar Estudio One SQL versión 2.40

Cómo instalar Estudio One SQL versión 2.40 Pág. 1 de 9 El presente instructivo lo guiará para realizar la instalación de Estudio One SQL 2.40 en varias PCs (Terminales) conectadas en red a una PC Servidora (la PC que posee las bases de datos).

Más detalles

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn PicoBlaze(3) Interfaces de Entrada/Salida Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn Temario Esta clase contiene: Interface de entrada/salida en PicoBlaze Ejemplo 1: a 2 +b

Más detalles

MCVS-O MANUAL DE INSTALACIÓN Y CONFIGURACIÓN

MCVS-O MANUAL DE INSTALACIÓN Y CONFIGURACIÓN DISEÑO E IMPLEMENTACIÓN DEL APLICATIVO INFORMÁTICO PARA EL MONITOREO DE LAS FASES DEL PROCESO DE ACREDITACIÓN DE INSTITUCIONES EDUCATIVAS DE EDUCACIÓN BÁSICA REGULAR Y EDUCACIÓN TÉCNICO PRODUCTIVA MCVS-O1-3131

Más detalles

SIMULACIÓN CON PROTEUS

SIMULACIÓN CON PROTEUS UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES LABORATORIO 2: PROTEUS 1. OBJETIVOS SIMULACIÓN CON PROTEUS Introducir al estudiante en

Más detalles

Digicel Space Guía de Usuario

Digicel Space Guía de Usuario Digicel Space Guía de Usuario CÓMO DESCARAR ARCHIVOS, MUSICA, FOTOS Y VIDEOS DE DIGICEL SPACE Paso 1: Cómo ingresar a Digicel Space Haga click en el link a continuación para ingresar a la página principal

Más detalles

MANUAL DE USUARIO MODULO DE ACTIVOS FIJOS

MANUAL DE USUARIO MODULO DE ACTIVOS FIJOS MANUAL DE USUARIO MODULO DE ACTIVOS FIJOS Derechos Reservados 2001-2016 1 CONTENIDO Pág. 1. COMO INGRESAR A AL MODULO DE ACTIVOS FIJOS 2. ELEMENTOS DE LA INTERFAZ DEL SISTEMA. 5 3. MENÚ TABLAS 7 3.1 Tipos

Más detalles

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 Objetivo: Diseño e implementación de un cronómetro digital usando la herramienta de diseño Xilinx Foundation. El diseño se realizará con captura de

Más detalles

SISTEMA DE CONSULTAS DE DATOS ACADÉMICOS PARA ESTUDIANTES MEDIANTE DISPOSITIVOS MÓVILES USANDO CÓDIGO QR MANUAL DE USUARIO INTEGRANTES DEL EQUIPO

SISTEMA DE CONSULTAS DE DATOS ACADÉMICOS PARA ESTUDIANTES MEDIANTE DISPOSITIVOS MÓVILES USANDO CÓDIGO QR MANUAL DE USUARIO INTEGRANTES DEL EQUIPO SISTEMA DE CONSULTAS DE DATOS ACADÉMICOS PARA ESTUDIANTES MEDIANTE DISPOSITIVOS MÓVILES USANDO CÓDIGO QR MANUAL DE USUARIO INTEGRANTES DEL EQUIPO Cédula de Identidad 16.591.329 17.207.074 18.009.481 20.303.540

Más detalles

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik Objetivos Objetivos Arquitecturas von Neumann Otras Unidad Central de Procesamiento (CPU) Responsabilidades Requisitos Partes de una CPU ALU Control & Decode Registros Electrónica y buses 2 Índice Capítulo

Más detalles

Universidad de Costa Rica Facultad de Ingeniería Escuela de Ingeniería Topográfica

Universidad de Costa Rica Facultad de Ingeniería Escuela de Ingeniería Topográfica Universidad de Costa Rica Facultad de Ingeniería Escuela de Ingeniería Topográfica MANUAL PARA GEORREFERENCIACIÓN DE IMAGENES Y TRANSFORMACIONES ENTRE SISTEMAS CARTOGRÁFICOS CON ARCGIS ELABORADO POR: JOSÉ

Más detalles

PRACTICA DE CONFIGURACION BASICA DE ROUTERS. Universidad Tecnológica Nacional F.R.C. Redes de Información (RIN) OBJETIVOS ACTIVIDAD

PRACTICA DE CONFIGURACION BASICA DE ROUTERS. Universidad Tecnológica Nacional F.R.C. Redes de Información (RIN) OBJETIVOS ACTIVIDAD PRACTICA DE CONFIGURACION BASICA DE ROUTERS OBJETIVOS Conocer y distinguir los diferentes puertos de un router Comprender la conexión física necesaria para configurar un router Comprender los comandos

Más detalles

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA.

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. Características Técnicas: FPGA de Xilinx XC3S400 ó XC3S1000 ISP PROM XCF02S ó XCF04S Memoria RAM estática: 2Mbytes Memoria FLASH: 4 Mbytes Temperatura

Más detalles

Inicio rápido: Ver informes

Inicio rápido: Ver informes Guía para el cliente Esta guía le muestra cómo crear, guardar, ver y exportar informes estándar y personalizados en Microsoft Business Center. Para empezar, inicie sesión en Business Center y seleccione

Más detalles

- 1 - MANUAL DE USUARIO - AFILIADOS CONTENIDO

- 1 - MANUAL DE USUARIO - AFILIADOS CONTENIDO CONTENIDO INSCRIPCION DE SU ESTABLECIMIENTO... 2 MODIFICACIÓN DE CONTRASEÑA... 5 RECUPERACIÓN DE CONTRASEÑA... 6 HACER UNA SOLICITUD... 8 VISUALIZAR DATOS... 10 CONTACTO... 11 MODIFICAR UN CONTACTO...

Más detalles

Introducción a la arquitectura de computadores

Introducción a la arquitectura de computadores Introducción a la arquitectura de computadores Departamento de Arquitectura de Computadores Arquitectura de computadores Se refiere a los atributos visibles por el programador que trabaja en lenguaje máquina

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II TIPOS DE MEMORIAS MEMORIA DDR MEMORIA DDR2 MEMORIA DDR3 COMPARACIÓN TIEMPOS DE ACCESO TIPOS DE LATENCIAS RAS CAS ACTIVIDAD PRECARGA TIPOS DE CONFIGURACIONES SINGLE CHANNEL DUAL CHANNEL

Más detalles

Avance - Soluciones Informáticas Página 1 de 17

Avance - Soluciones Informáticas Página 1 de 17 Software de Liquidación de SUELDOS Avance - Soluciones Informáticas Página 1 de 17 Información General del Programa Gracias por elegir nuestro software. A-Sueldos es un sistema para la liquidación de sueldos

Más detalles

Liondev GENERARACION DE CERTIFICADO DE SELLO DIGITAL (CSD) Y FIEL. Liondev S.A. de C.V.

Liondev GENERARACION DE CERTIFICADO DE SELLO DIGITAL (CSD) Y FIEL. Liondev S.A. de C.V. Liondev GENERARACION DE CERTIFICADO DE SELLO DIGITAL (CSD) Y FIEL Manual de usuario para obtener el certificado de sello digital Revisión 1 Marzo del 2015. Liondev S.A. de C.V. Tel. +52 443 2754232 Papaya

Más detalles

Módem y redes de área local Guía del usuario

Módem y redes de área local Guía del usuario Módem y redes de área local Guía del usuario Copyright 2008 Hewlett-Packard Development Company, L.P. La información contenida en el presente documento está sujeta a cambios sin previo aviso. Las únicas

Más detalles

Guía de Firma Digital para documentos de Word 2010.

Guía de Firma Digital para documentos de Word 2010. Guía de Firma Digital para documentos de Word 2010. DIRECCIÓN DE CERTIFICADORES DE FIRMA DIGITAL Versión 2.0 Fecha Versión Autor(es) Aprobado Descripción 11-10-2010 1.0 Mario Alvarez C. Alexander Barquero

Más detalles

Seleccione en el escritorio el programa Sucosoft S40 y darle doble click.

Seleccione en el escritorio el programa Sucosoft S40 y darle doble click. Programación y manejo de Sucosoft S40: Cómo Programar? Seleccione en el escritorio el programa Sucosoft S40 y darle doble click. Aparece una ventana denominada administrador Sucosoft en la cual se encuentra

Más detalles

PRACTICA N 3 ADQUISICIÓN DE DATOS DE TEMPERATURA Y VELOCIDAD

PRACTICA N 3 ADQUISICIÓN DE DATOS DE TEMPERATURA Y VELOCIDAD PRACTICA N 3 ADQUISICIÓN DE DATOS DE TEMPERATURA Y VELOCIDAD Fecha de entrega: 28 de septiembre Durante la realización de esta práctica el estudiante debe familiarizarse con el uso de dos tipos de sensores:

Más detalles

Requiriendo Certificados de WiseKey.com

Requiriendo Certificados de WiseKey.com Pág. 1/15 Requiriendo Certificados de WiseKey.com Nombre del Partner WiseKey.com Nombre de la Solución epass2000 FT12 Fecha 07 de Noviembre de 2007 Desarrollado por el Departamento de IT de MacroSeguridad

Más detalles

MI GUÍA PIRA. Manual para Docentes

MI GUÍA PIRA. Manual para Docentes MI GUÍA PIRA Manual para Docentes QUÉ ES PIRA? Plataforma Institucional como refuerzo Académico electrónico (al modelo presencial) (PIRA). El profesor ubicará: 1. Contenidos de aprendizaje. 2. Actividades

Más detalles

Manual de usuario. Instalación de Dispositivos y Firma de Documentos. PDF con JSingPDF SECURITY DATA SEGURIDAD EN DATOS Y FIRMA DIGITAL, S.A.

Manual de usuario. Instalación de Dispositivos y Firma de Documentos. PDF con JSingPDF SECURITY DATA SEGURIDAD EN DATOS Y FIRMA DIGITAL, S.A. Manual de usuario Instalación Documentos PDF con JSingPDF SECURITY DATA SEGURIDAD EN DATOS Y FIRMA DIGITAL, S.A. La Concepción - Río Palora OE2-7 Av. Amazonas Telf.: (59-2) 28 911 Fax: (59-2) 2250 271

Más detalles

Módulo Bluetooth HC-06 con puerto serial. Guía fácil

Módulo Bluetooth HC-06 con puerto serial. Guía fácil 1 Módulo Bluetooth HC-06 con puerto serial. Guía fácil Este manual consta de 3 partes: PARTE 1. Resumen del módulo Bluetooth HC-06 con puerto serial. PARTE 2. Instalación de módulo Bluetooth HC-06 al sistema

Más detalles

Registros SFR vistos hasta ahora: Microcontroladores PIC

Registros SFR vistos hasta ahora: Microcontroladores PIC Registros SFR vistos hasta ahora: Microcontroladores PIC Microcontroladores PIC: Timer Características del Timer TMR0: Cumple básicamente la función de contador de eventos (o divisor de frecuencia). El

Más detalles

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna

Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna 1 Tutorial de Xilinx ISE Texto original de Eduardo Magdaleno Castelló y Manuel Rodríguez Valido Universidad de La Laguna Adaptaciones por Jhon Esteban Valencia y Mónica Vallejo para el curso de Electrónica

Más detalles

TALLER DE TECNOLOGÍAS PARA LA EDUCACIÓN: PerúEduca Manual Para el Ingreso de Datos al Programa SIAGIE V3

TALLER DE TECNOLOGÍAS PARA LA EDUCACIÓN: PerúEduca Manual Para el Ingreso de Datos al Programa SIAGIE V3 TALLER DE TECNOLOGÍAS PARA LA EDUCACIÓN: PerúEduca Manual Para el Ingreso de Datos al Programa SIAGIE V3 MANUAL PARA EL INGRESO DE DATOS AL PROGRAMA SIAGIE V3 Ingresar a la página Web del Ministerio de

Más detalles

Uso de Disparadores. Miguel Angel Garduño Cordova Isaac Méndez Hernández

Uso de Disparadores. Miguel Angel Garduño Cordova Isaac Méndez Hernández Reporte Uso de Disparadores Catedrático: Alumnos: Ing. María Elena Reyes Castellanos Miguel Angel Garduño Cordova Isaac Méndez Hernández Índice General Índice de tablas 2 Introducción 4 Objetivo 4 Desarrollo

Más detalles

Diseño de máquinas de estado

Diseño de máquinas de estado DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de máquinas de estado Sistemas Digitales Avanzados 1. Introducción y objetivos Las máquinas de estado finitas

Más detalles

Práctica de laboratorio 4.2.9e Fluke LinkRunner Pruebas de cableado y NIC

Práctica de laboratorio 4.2.9e Fluke LinkRunner Pruebas de cableado y NIC Práctica de laboratorio 4.2.9e Fluke LinkRunner Pruebas de cableado y NIC Objetivo Familiarizarse con las funciones del Fluke LinkRunner Verificar la longitud y la integridad del cable Determinar dónde

Más detalles

Manual de Usuario de la Aplicación Web Gestión de Convenio y Becas - RELEXT 2015 UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA

Manual de Usuario de la Aplicación Web Gestión de Convenio y Becas - RELEXT 2015 UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA DIRECCIÓN DE TECNOLOGÍA DE LA INFORMACIÓN Y COMUNICACIONES APLICACIÓN WEB DE RELACIONES EXTERNAS MANUAL DE USUARIO USUARIO: ADMINISTRADOR DE SISTEMAS ACCESO

Más detalles

Introducción a los Microprocesadores Facultad de Ingeniería UDELAR 2014

Introducción a los Microprocesadores Facultad de Ingeniería UDELAR 2014 Tutorial de hardware Introducción A través de esta guía y con ayuda de la herramienta Quartus II, aprenderás a modificar el hardware de un sistema con Z80 implementado en la placa DE0 que se utiliza en

Más detalles

GUIA 2: Repaso sobre uso de C#. Funciones, métodos y arreglos.

GUIA 2: Repaso sobre uso de C#. Funciones, métodos y arreglos. 1 Programación II, Guía 2 1 Facultad: Ingeniería Escuela: Computación Asignatura: Programación II GUIA 2: Repaso sobre uso de C#. Funciones, métodos y arreglos. Objetivos Utilizar la sintaxis de las funciones

Más detalles

UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS TÉCNICO EN CONTROL DE LA CALIDAD

UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS TÉCNICO EN CONTROL DE LA CALIDAD UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS TÉCNICO EN CONTROL DE LA CALIDAD CICLO: I-2015 GUIA DE LABORATORIO # 1 Nombre de la Práctica: Control Estadístico parte I Entorno Lugar de Ejecución:

Más detalles

Generación de Comprobantes Fiscales Digitales para HOMEX.

Generación de Comprobantes Fiscales Digitales para HOMEX. Generación de Comprobantes Fiscales Digitales para HOMEX. Este documento persigue puntualizar la definición que debe hacerse en el sistema Aspel-SAE 4.0 si se desea facturar electrónicamente a HOMEX. Los

Más detalles

PROGRAMA ANALÍTICO DE ASIGNATURA

PROGRAMA ANALÍTICO DE ASIGNATURA UNIVERSIDAD AUTÓNOMA DEL ESTADO DE HIDALGO COORDINACIÓN DE DOCENCIA DIRECCIÓN DE PLANEACIÓN Y DESARROLLO EDUCATIVO _ 1.- DATOS GENERALES 1.1 INSTITUTO: CIENCIAS BASICAS E INGENIERIA PROGRAMA ANALÍTICO

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el diseño y simulación de un circuito digital con VHDL, síntesis e implementación en un FPGA Alumno : Grupo : Prof. M. C. Felipe

Más detalles

Manual de conexión de datos para KYOCERA KX18. Gerencia de Desempeño o de Productos y Servicios CR

Manual de conexión de datos para KYOCERA KX18. Gerencia de Desempeño o de Productos y Servicios CR Manual de conexión de datos para KYOCERA KX18 INDICE 1. Contenido del paquete 2. Consideraciones importantes 3. Información Técnica 4. Conexión por medio del puerto USB Instalación de controladores USB

Más detalles

MANUAL DE USUARIO SISTEMA DE POSTULACIÓN EN LINEA Cartas de Recomendación

MANUAL DE USUARIO SISTEMA DE POSTULACIÓN EN LINEA Cartas de Recomendación MANUAL DE USUARIO SISTEMA DE POSTULACIÓN EN LINEA Cartas de Recomendación http://spl.conicyt.cl CONICYT PROGRAMA FORMACIÓN DE CAPITAL HUMANO AVANZADO CONTENIDOS INTRODUCCIÓN... 2 1. PLAZO PARA ENVÍO DE

Más detalles

PLATAFORMA DE DISEÑO QUARTUS

PLATAFORMA DE DISEÑO QUARTUS PLATAFORMA DE DISEÑO QUARTUS 199 Pasos para trabajar en la tarjeta intel DE2i-150 FPGA: Lo primero que se debe hacer es prender la tarjeta y esperar a que se cargue el sistema operativo UBUNTU. Ver la

Más detalles

Figura 1: Abriendo el Performance Monitor Figura 2: Pantalla Inicial del Monitor

Figura 1: Abriendo el Performance Monitor Figura 2: Pantalla Inicial del Monitor Universidad de Los Andes Departamento de Ingeniería de Sistemas y Computación Infraestructura Computacional ISIS 2203 (C1) Manual Performance Monitor Windows Server 2008 Preparado por: Asistente Graduado

Más detalles

PIC MICRO ESTUDIO Timer ajustable hasta 99H 59M 59S Timer S232 Es Clave:

PIC MICRO ESTUDIO Timer ajustable hasta 99H 59M 59S Timer S232 Es Clave: PIC MICRO ESTUDIO Timer ajustable hasta 99H 59M 59S Timer S232 Es Clave: 722-1 www.electronicaestudio.com Guía de Operación Timer ajustable hasta 99H 59M 59s Modulo: Timer S232 ES Clave: 722-1 TIMER PROGRAMABLE

Más detalles

Registros y contadores

Registros y contadores Universidad Rey Juan Carlos Registros y contadores Norberto Malpica norberto.malpica@urjc.es Ingeniería de Tecnologías Industriales Registros y contadores 1 Esquema 1. Concepto de registro. 2. Registros

Más detalles

REEA. Conexión de un S con WinCC RT Advanced V.12

REEA. Conexión de un S con WinCC RT Advanced V.12 Conexión de un S7-1200 con WinCC RT Advanced V.12 Objetivo Conexión entre un autómata Siemens S7-1200 y el Scada WinCC Advanced V.12 en modo Runtime para PC. Hardware y software a utilizar Hardware: PC

Más detalles

SECUENCIA DIDÁCTICA. Módulo IV

SECUENCIA DIDÁCTICA. Módulo IV SECUENCIA DIDÁCTICA Nombre de curso: Simulación de Sistemas Antecedente: Clave de curso: ECOM118 Clave de antecedente: Ninguna. Módulo IV Competencia de Módulo: Desarrollar programas de cómputo utilizando

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Guía del usuario de material multimedia SUD (ios)

Guía del usuario de material multimedia SUD (ios) Guía del usuario de material multimedia SUD (ios) Introducción La aplicación Multimedia SUD se ha diseñado con el fin de ayudarle a organizar presentaciones con diferentes tipos de materiales multimedia

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Guía de Firma Digital para LibreFirma.

Guía de Firma Digital para LibreFirma. Guía de Firma Digital para LibreFirma. DIRECCIÓN DE CERTIFICADORES DE FIRMA DIGITAL Versión 1.0 Fecha Versión Autor(es) Aprobado Descripción 29-09-2014 1.0 Mario Alvarez C. Alexander Barquero, Director

Más detalles