Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase."

Transcripción

1 DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 3 Objetivo Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase. Familiarización con el software Quartus y con el software ModelSim. Uso de archivo de restricción para pines E/S del FPGA vinculados a hardware del board DE Configuración del FPGA con el código VHDL correspondiente. Parte A Realice un decodificador de Hexadecimal a 7-segmentos. El decodificador tiene cuatro bits de entrada que codifica un número entre 0 y 15. El decodificador tiene siete salidas desde a hasta g, correspondiendo cada letra a un segmento del LED display. Cada segmento es activo en bajo. Compruebe el funcionamiento del decodificador mediante la simulación adecuada del mismo. En el test bench use instrucción assert para verificar en código el correcto funcionamiento de la descripción realizada. Parte B Usando component-declaration y component-instantiation describa el siguiente sistema en VHDL. Compruebe su funcionamiento con un test bench. Trate de usar for-generate para el multiplexado de los contadores.

2 C_1 b0 C_2 b1 C_3 b2 Deco Hex - 7Segments C_4 b3 Sel Nota 1: Los bloques C_1-C_4 son contadores de 4 bits que serán implementados en el próximo laboratorio. Por ahora lo único que interesa es que cada contador es de 4 bits. Nota 2: Las salidas de los contadores C_1-C_4 no han sido conectados para una mayor claridad del diagrama. Pero todas deben ser conectadas como entradas en la entidad. Parte C Usando el proyecto realizado en el punto A de este laboratorio, escriba un archivo de restricción (constraint file) en el que se asigne las señales de entrada del a switches, y las salidas a los LEDs disponibles en el board DE Compruebe la correcta asignación de la señales de entrada/salida con los respectivos pines de E/S del FPGA revisando el reporte de respectivo. Una vez comprobado el correcto funcionamiento en simulación, genere el archivo de configuración del FPGA. Finalmente se procederá a configurar el Cyclone IV (en el laboratorio). Cristian Sisterna DSDA Lab 3 2

3 Parte D Usando el proyecto realizado en el punto B de este laboratorio, escriba un archivo de restricción (constraint file) en el que se asigne los pines de E/S de su entidad a los correspondientes pines de E/S para controlar un display de 7 segmentos disponible en el board DE Asocie las entradas de los contadores, y el selector del multixplexer con los switches disponibles en el board (SW17-SW0), y las del decodificador de Hex- 7Segm con uno de los display 7 segmentos disponibles. Compruebe la correcta asignación de la señales de entrada/salida con los respectivos pines de E/S del FPGA revisando el reporte de respectivo. Genere el modelo de simulación post-place and route. Ejecute una simulación Post Place-Route. Verifique en ModelSim el retardo de propagación de los elementos combinacionales/secuenciales. Una vez comprobado el correcto funcionamiento en simulación, genere el archivo de configuración del FPGA. Finalmente se procederá a configurar el Cyclone IV (en el laboratorio). Informe Presentar un informe completo del diseño siguiendo las reglas especificadas en la guía para la presentación de informes de laboratorios. De la parte D de este laboratorio, incluya en el informe una captura de pantalla del retardo de propagación capturado en ModelSim. También el RTL esquemático del retardo de propagación más largo. Los códigos escritos para los distintos componentes deben ser agregados al informe como apéndices. Presentar un ítem en el informe describiendo los problemas encontrados y sus respectivas soluciones. Como así también los warnings generados por el Quartus y sus soluciones. Cristian Sisterna DSDA Lab 3 3

4 Apéndice A Pines del FPGA conectados a los switches de la placa. Apéndice B Pines del FPGA que controlan los LEDs verdes y LEDs rojos. Cristian Sisterna DSDA Lab 3 4

5 Apéndice C Pines del FPGA que controlan el primer 7 segmentos. Apéndice C Verificación de la correcta asignación de pines de E/S. 1 2 Cristian Sisterna DSDA Lab 3 5

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase. DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 3 Objetivo Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas

Más detalles

Laboratorio 4. Objetivos

Laboratorio 4. Objetivos DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 4 Objetivos Interpretación de información especificada en hojas de datos o especificaciones de diseño Utilización de

Más detalles

Proyecto de Diseño 2

Proyecto de Diseño 2 Altera University Program 1 Proyecto de Diseño 2 Números y Visualizadores El objetivo de esta práctica es diseñar circuitos combinacionales que realicen conversiones numéricas de binario a decimal y adición

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE LABORATORIO1 INTRODUCCION AL USO DE LA HERRAMIENTA DE SINTESIS Y SIMULACION ISE_9.2 INTRODUCCION El curso de Diseño avanzado de Hardware, presenta al estudiante diferentes técnicas y herramientas que le

Más detalles

Diseño y verificación en VHDL de microcontrolador implementado en FPGA

Diseño y verificación en VHDL de microcontrolador implementado en FPGA SEMINARIO DE EXTENSIÓN DE ISLD 2017 uc16 Diseño y verificación en VHDL de microcontrolador implementado en FPGA Sergio Noriega Clase inicial: Jueves 24 de Agosto, de 8:15 a 10:15 hs, Aula 32. Total 12

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

1. Creando componentes 2. conectando con tarjeta externa. 3. Decodificador de BCD a 7 segmentos

1. Creando componentes 2. conectando con tarjeta externa. 3. Decodificador de BCD a 7 segmentos Practica 2. FCHE12022015 1. Creando componentes 2. conectando con tarjeta externa. 3. Decodificador de BCD a 7 segmentos Ejemplo 1. Crear o abrir un proyecto de una compuerta (AND, OR o XOR), Ya debe compilar

Más detalles

Manual de la Práctica 4: Control de iluminación mediante Arduino

Manual de la Práctica 4: Control de iluminación mediante Arduino Control por Computador Manual de la Práctica 4: Control de iluminación mediante Arduino Jorge Pomares Baeza Francisco Andrés Candelas Herías Grupo de Innovación Educativa en Automática 2009 GITE IEA -

Más detalles

Diseño y verificación en VHDL de microcontrolador implementado en FPGA

Diseño y verificación en VHDL de microcontrolador implementado en FPGA SEMINARIO DE EXTENSIÓN DE ISLD 2016 uc16 Diseño y verificación en VHDL de microcontrolador implementado en FPGA Sergio Noriega Clase inicial: Jueves 18 de Agosto, de 8:15 a 10:15 hs, Sala de Conferencias

Más detalles

GUIA DE COMPONENTE PRACTICO

GUIA DE COMPONENTE PRACTICO GUIA DE COMPONENTE PRACTICO Con el propósito de fomentar el desarrollo de habilidades en el diseño e implementación física de circuitos digitales, se ha diseñado un componente práctico que será desarrollado

Más detalles

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S Lab 6 Cuádruple registro de 16 bits y 3 puertos E/S Objetivo: Codificar en VHDL y verificar el funcionamiento de un registro de cuatro palabras y de las operaciones de lectura y escritura sobre el mismo.

Más detalles

SEMINARIO DE EXTENSIÓN DE ISLD

SEMINARIO DE EXTENSIÓN DE ISLD SEMINARIO DE EXTENSIÓN DE ISLD Sergio Noriega - 2014 OBJETIVOS EL PRESENTE SEMINARIO TIENE COMO OBJETIVO, INTRODUCIR A LOS ALUMNOS AL DISEÑO Y VERIFICACIÓN DE CIRCUITOS DIGITALES COMPLEJOS, EMPLEANDO TÉCNICAS

Más detalles

Dependiendo del dispositivo usado, se tienen hasta 5 puertos de entrada/salida disponibles: PORTA PORTB PORTC PORTD PORTE

Dependiendo del dispositivo usado, se tienen hasta 5 puertos de entrada/salida disponibles: PORTA PORTB PORTC PORTD PORTE 25 3 ENTRADA / SALIDA Dependiendo del dispositivo usado, se tienen hasta 5 puertos de entrada/salida disponibles: PORTA PORTB PORTC PORTD PORTE Cada uno de estos puertos es de 8 bits, sin embargo no todos

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa Decodificadores y Demultiplexores Pedro Fernández Ignacio de la Rosa Decodificadores El trabajo de un decodificador, es recibir como entradas códigos en binario (N bits) y activar una de las M salidas,

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria.

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria. 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria. Sistema complejo se estudia

Más detalles

Circuitos Lógicos: SDI Práctica 2. BCD a 7 segmentos

Circuitos Lógicos: SDI Práctica 2. BCD a 7 segmentos Circuitos Lógicos: SDI-11322 Práctica 2. BCD a 7 segmentos Departamento Académico de Sistemas Digitales Instituto Tecnológico Autónomo de México Otoño 2018 1. Objetivos Que el alumno: Se familiarice con

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

Lab 2: Sumador/Restador en System Generator

Lab 2: Sumador/Restador en System Generator Lab 2: Sumador/Restador en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

Sistemas Digitales I

Sistemas Digitales I UNIVERSIDAD INDUSTRIAL DE SANTANDER Sistemas Digitales I Taller No1 Profesor: Carlos A. Fajardo Mayo de 2015 Temas: Representación digital de los Datos, Algebra de Boole, Funciones Lógicas, Introducción

Más detalles

Curso de Automatización y Robótica Aplicada con Arduino

Curso de Automatización y Robótica Aplicada con Arduino Temario Curso de Automatización y Robótica Aplicada con Arduino Módulo 1. Programación en Arduino. Temario. Curso. Automatización y Robótica Aplicada con Arduino. Módulo 1. Programación en Arduino. Duración

Más detalles

Simulación de circuitos descritos en VHDL

Simulación de circuitos descritos en VHDL Simulación de circuitos descritos en VHDL Autores: Celia López Luis Entrena Mario García Enrique San Millán Marta Portela Almudena Lindoso 1 Indice 1 2 3 4 5 Validación funcional de circuitos digitales

Más detalles

INTRODUCCIÓN A SIMULINK/SYSTEM GENERATOR (XILINX FPGA) C7 Technology 1

INTRODUCCIÓN A SIMULINK/SYSTEM GENERATOR (XILINX FPGA) C7 Technology 1 INTRODUCCIÓN A SIMULINK/SYSTEM GENERATOR (XILINX FPGA) C7 Technology 1 Algoritmos DSP 2 Implementación Algoritmos DSP Algoritmo DSP Procesadores DSP (AMD, TI) FPGAs Simulink +System Generator + FPGA Software

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

UNIVERSIDAD CARLOS III DE MADRID

UNIVERSIDAD CARLOS III DE MADRID UNIVERSIDAD CARLOS III DE MADRID Manual de Prácticas Autores: Celia López Ongil Marta Portela García Susana Patón Álvarez Almudena Lindoso Muñoz DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA POLITÉCNICA

Más detalles

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 Objetivo: Diseño e implementación de un cronómetro digital usando la herramienta de diseño Xilinx Foundation. El diseño se realizará con captura de

Más detalles

PIC MICRO ESTUDIO Temporizador con el 16F872 Guía de Uso

PIC MICRO ESTUDIO Temporizador con el 16F872  Guía de Uso PIC MICRO ESTUDIO Temporizador con el 16F872 www.electronicaestudio.com Guía de Uso Temporizador con el PIC16F872 Los temporizadores los encontramos en muchos lugares de nuestra vida diaria, generalmente

Más detalles

Dispositivos de Memoria

Dispositivos de Memoria Práctica No. 2 Dispositivos de Memoria Datos de la práctica Carrera INGENIERIA ELECTRONICA Semestre Grupo Tipo Practica Laboratorio Simulación Fecha Asignatura Unidad Temática No Alumnos por practica 2

Más detalles

Ejemplo de diseño del Proyecto N 1

Ejemplo de diseño del Proyecto N 1 Ejemplo de diseño del Proyecto N DEPATAENT DE ELECTNCA La empresa de potabilización de agua dispone de un tanque de decantación y desea desde una sala de control monitorear algunas características de los

Más detalles

Dispositivos y Sistemas Programables Avanzados

Dispositivos y Sistemas Programables Avanzados Dispositivos y Sistemas Programables Avanzados Autores: Antonio Calomardre Jordi Zaragoza Índice: Práctica 1: Barra de Leds... 3 1. Introducción...4 2. Descripción del diseño...4 3. Procedimiento a Seguir...5

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I.

DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I. DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I. RESUMEN En este artículo intentamos mostrar el cambio dramático

Más detalles

Sistema de Gestión de Aplicaciones Implementadas en FPGAs

Sistema de Gestión de Aplicaciones Implementadas en FPGAs Sistema de Gestión de Aplicaciones Implementadas en FPGAs Ledo Bañobre, R. 1, Losada Sampayo, A. 1, Álvarez Ruiz de Ojeda, J. 1 1 Departamento de Tecnología Electrónica, Escuela Técnica Superior de Ingenieros

Más detalles

Frecuencia Máxima de un Sistema Digital Sincrónico (Básico)

Frecuencia Máxima de un Sistema Digital Sincrónico (Básico) Frecuencia Máxima de un Sistema Digital Sincrónico (Básico) Nota Técnica 8 Cristian Sisterna Introducción Comúnmente se expresa que un sistema puede funcionar satisfactoriamente a 100MHz, o a 133MHz o

Más detalles

Introducción al Diseño Digital con FPGAs.

Introducción al Diseño Digital con FPGAs. Introducción al Diseño Digital con FPGAs www.emtech.com.ar Temario del curso Dia 1: Introducción y ejemplo practico paso a paso Dia 2: VHDL, flujo de diseño y otro ejemplo Dia 3: Detalles de diseño e implementacion

Más detalles

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

UNIVERSIDAD DE GUANAJUATO F. I. M. E. E.

UNIVERSIDAD DE GUANAJUATO F. I. M. E. E. UNIVERSIDAD DE GUANAJUATO F. I. M. E. E. LABORATORIO DE ELECTRÓNICA DIGITAL I MANUAL DE PRÁCTICAS René de Jesús Romero Troncoso FIMEE ii Universidad de Guanajuato Electrónica Digital I Contenido: Práctica

Más detalles

Práctica final. Emilia Cruz Fernández Martínez

Práctica final. Emilia Cruz Fernández Martínez Guadalinex Práctica final Curso 2003/2004 Emilia Cruz Fernández Martínez Desarrollo de una unidad didáctica usando software libre ELEMENTOS FUNCIONALES DE UN ORDENADOR Qué es un ordenador? Es un dispositivo

Más detalles

Guía de Google Cloud Print

Guía de Google Cloud Print Guía de Google Cloud Print Versión A SPA Definición de las notas En esta guía del usuario se utiliza el siguiente estilo de nota: Las notas le indican cómo responder ante situaciones que pueden presentarse,

Más detalles

RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 PIC 16F87X. Figura # 1

RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 PIC 16F87X. Figura # 1 UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA "ANTONIO JOSÉ DE SUCRE" DEPARTAMENTO DE ELECTRÓNICA Ejercicios para el parcial # 2 Prof. Ing. Antonio Pateti 1.- Estudie el hardware del Puerto B y explique:

Más detalles

PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS

PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS 1. Objetivo El objetivo de esta práctica es estudiar el funcionamiento de los contadores síncronos construidos a partir de biestables, y aprender cómo se pueden

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 6 NOMBRE

Más detalles

TRABAJO 1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR

TRABAJO 1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR TRABAJO 1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR 1. OBJETIVOS - Conocer e interpretar las hojas de características del fabricante, de los elementos

Más detalles

PRÁCTICA 18: SUMADOR SECUENCIAL CON QUARTUS II

PRÁCTICA 18: SUMADOR SECUENCIAL CON QUARTUS II PRÁCTICA 18: SUMADOR SECUENCIAL CON QUARTUS II OBJETIVOS Realizar un circuito digital que sume, de forma secuencial, dos números de 3 bits en binario natural. Al finalizar la práctica, el alumno ha de

Más detalles

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23

Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Practica 7. Procesos y divisor de frec. FCHE 2015-03-23 Antecedentes: Memorias como ROM etc. ANEXO: Formulario. Resumen de circuitos combinacionales y secuenciales TIP: Códigos para copiar rápido en Word,

Más detalles

PLATAFORMA DE DISEÑO QUARTUS

PLATAFORMA DE DISEÑO QUARTUS PLATAFORMA DE DISEÑO QUARTUS 199 Pasos para trabajar en la tarjeta intel DE2i-150 FPGA: Lo primero que se debe hacer es prender la tarjeta y esperar a que se cargue el sistema operativo UBUNTU. Ver la

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

Tema 5.1: Presentación de datos en pantalla

Tema 5.1: Presentación de datos en pantalla Tema 5.1: Presentación de datos en pantalla El registro de flags del i8086 Instrucciones de transferencia Instrucciones de transferencia de control Instrucciones aritméticas: comparación Representación

Más detalles

PRÁCTICA 4. Montaje y evaluación de sistemas secuenciales.

PRÁCTICA 4. Montaje y evaluación de sistemas secuenciales. Tiempo: 2 semanas 1.- Objetivos: Laboratorio de Fundamentos de tecnología de Computadores. PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales. El objetivo de este módulo es familiarizar al alumno

Más detalles

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 1 isplever: ABEL HDL, Diseño Lógico Combinatorio. jlozada@ipn.mx Centro de Innovación y Desarrollo Tecnológico en Cómputo Lab. de Diseño de Sistemas Digitales

Más detalles

M1.607 Microelectrónica Práctica Estudios de Informàtica Multimèdia y Telecomunicación

M1.607 Microelectrónica Práctica Estudios de Informàtica Multimèdia y Telecomunicación Práctica 2 Presentación Esta práctica se focaliza en la utilización del lenguaje VHDL para describir un diseño sencillo y poder verificar su correcto funcionamiento mediante bancos de pruebas y simulaciones.

Más detalles

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK OBJETIVO: Implementar un sistema embebido personalizado en la Spartan3e, utilizando

Más detalles

Al momento de generar un certificado de Existencia y Representación Legal, visualizará una pantalla como la que se muestra a continuación:

Al momento de generar un certificado de Existencia y Representación Legal, visualizará una pantalla como la que se muestra a continuación: Cómo validar la firma en el Certificado de Existencia y Representación Legal expedido de forma electrónica por Cámara de Comercio de Medellín para Antioquia? Al momento de generar un certificado de Existencia

Más detalles

ELECTRÓNICA DIGITAL (P2000)

ELECTRÓNICA DIGITAL (P2000) ELECTRÓNICA DIGITAL (P2000) DISEÑO FINAL CONTROL DE ACCESO Curso 2010/2011 Control de Acceso Pág: 2 de 5 INDICE 1 Descripción...3 2 Objetivos...4 3 Otras consideraciones...4 4 Material entregado...5 5

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO

METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO E. Magdaleno, M. Rodríguez, A. Ayala, B. R. Mendoza y S. Rodríguez. Universidad de La Laguna emagcas@ull.es, mrvalido@ull.es,

Más detalles

ROLES DEL PROYECTO Tomayko

ROLES DEL PROYECTO Tomayko Arquitecto Principal : Responsable de la creación del proyecto de software. Responsabilidades principales: coordinar y supervisar el documento de requerimientos y de especificaciones, coordinación y supervisión

Más detalles

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V Practica 0. QUARTUS ll FCE 2015-02-05 Objetivo: El alumno conozca y utilice el software de ALTERA, quartus ll 1. Escribir un programa en vhdl, con ejemplo de compuerta NOT. 2. compilar y verificar sintaxis,

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014 Solución al Trabajo Práctico - Junio de 2014 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es contabilizar el número de señales de entrada que tienen valor

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016 Solución al Trabajo Práctico - Junio de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

Sistemas Embebidos. Actividad 1: Integración de módulos de hardware programable

Sistemas Embebidos. Actividad 1: Integración de módulos de hardware programable Sistemas Embebidos Departamento de Ciencias e Ingeniería de la Computación Universidad Nacional del Sur Segundo Cuatrimestre de 2018 Laboratorio N 4 Hardware Programable, FPGs y HDLs Fecha límite de presentación

Más detalles

Capítulo 6 Pruebas y Resultados

Capítulo 6 Pruebas y Resultados Capítulo 6-64 - 6.1 Pruebas con cámara CCD. Se construyó una base provisional con el objetivo de mantener constante las condiciones del ambiente luminoso en el que se realizarían las pruebas. Figura 6.1

Más detalles

TEMA 2: Control combinacional. 1.- Introducción. Esquema:

TEMA 2: Control combinacional. 1.- Introducción. Esquema: Esquema: TEMA 2: Control combinacional TEMA 2: Control combinacional...1 1.- Introducción...1 1.1.-Diseño de circuitos combinacionales...2 2.- Circuitos combinacionales avanzados...2 2.1.- Codificadores...2

Más detalles

Práctica 3 Captura Esquemática

Práctica 3 Captura Esquemática Práctica 3 Captura Esquemática Objetivos particulares Durante el desarrollo de esta práctica el alumno implementara físicamente los operadores lógicos And, Or, Nand, Nor y Exor de tres entradas en un solo

Más detalles

TAREA DE SIMULACIÓN-TS1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR

TAREA DE SIMULACIÓN-TS1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR TAREA DE SIMULACIÓN-TS1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR 1. OBJETIVOS - Conocer e interpretar las hojas de características del fabricante,

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017 Solución al Trabajo Práctico - Junio de 2017 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

CAPITULO IV 4.1.1.1 PRUEBAS DE COMUNICACIÓN ENTRE LOS EQUIPOS WIRELESS DE RADIOFRECUENCIA RF

CAPITULO IV 4.1.1.1 PRUEBAS DE COMUNICACIÓN ENTRE LOS EQUIPOS WIRELESS DE RADIOFRECUENCIA RF CAPITULO IV 4.1. PRUEBAS EXPERIMENTALES 4.1.1. VELOCIDAD DE COMUNICACIÓN. 4.1.1.1 PRUEBAS DE COMUNICACIÓN ENTRE LOS EQUIPOS WIRELESS DE RADIOFRECUENCIA RF La comunicación como es mencionada y detallada

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

PLATAFORMA PARA EL AUTOAPRENDIZAJE DE LAS FPGA Y SUS APLICACIONES

PLATAFORMA PARA EL AUTOAPRENDIZAJE DE LAS FPGA Y SUS APLICACIONES PLATAFORMA PARA EL AUTOAPRENDIZAJE DE LAS FPGA Y SUS APLICACIONES C. QUINTÁNS 1,2, J.M. LAGO 1, L.M. MENÉNDEZ 2,3 Y E. MANDADO 1,2 1 Departamento de Tecnología Electrónica. Universidad de Vigo. España.

Más detalles

Proyecto 3: CONTROL DE VELOCIDAD DE UN MOTOR DC Y TRANSMISIÓN DE DATOS

Proyecto 3: CONTROL DE VELOCIDAD DE UN MOTOR DC Y TRANSMISIÓN DE DATOS UNIVERSIDAD DEL VALLE DE GUATEMALA COLEGIO UNIVERSITARIO Proyecto 3: CONTROL DE VELOCIDAD DE UN MOTOR DC Y TRANSMISIÓN DE DATOS Guatemala, Abril 2012 Oscar Reyes, Carnet: 09565 Pablo Azurdia, Carnet: 08308

Más detalles

Principios del FPGA y aplicaciones en el control de procesos industriales.

Principios del FPGA y aplicaciones en el control de procesos industriales. UNIVERSIDAD DE EL SALVADOR FACULTAD DE INGENIERÍA Y ARQUITECTURA ESCUELA DE INGENIERÍA ELÉCTRICA Principios del FPGA y aplicaciones en el control de procesos industriales. PRESENTADO POR: BENJAMIN ANTONIO

Más detalles

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación:

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación: L. Nº: 2 HORRIO: H-441 FECH: 22/09/2005 El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación: De las 4 entradas, sólo se deja pasar una de ellas, la decisión de

Más detalles

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL 1.1. Introducción 1.2. Lenguajes para la descripción de hardware 1.3. Ciclo de diseño de los circuitos digitales 1.4. Tecnologías de circuitos integrados

Más detalles

Universidad Nacional Autónoma de México. Facultad de Ingeniería TRABAJO DE MINIPROYECTOS

Universidad Nacional Autónoma de México. Facultad de Ingeniería TRABAJO DE MINIPROYECTOS Universidad Nacional Autónoma de México Facultad de Ingeniería Diseño de Sistemas Digitales TRABAJO DE MINIPROYECTOS Alumnos: Cruz Sandoval Laura Janet Flores Delgado Martha Brenda Osorio García Omar Sánchez

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 2 Síntesis Lógica Tarjeta Spartan II. Campo 1: Datos Personales.

DISEÑO DE PROCESADORES DEDICADOS. Práctica 2 Síntesis Lógica Tarjeta Spartan II. Campo 1: Datos Personales. DISEÑO DE PROCESADORES DEDICADOS Campo 1: Datos Personales. Práctica 2 Síntesis Lógica Tarjeta Spartan II M. en C. Instituto Politécnico Nacional Centro de Innovación y Desarrollo Tecnológico en Cómputo

Más detalles

ANALIZADOR LÓGICO VIRTUAL PARA ORDENADOR PERSONAL

ANALIZADOR LÓGICO VIRTUAL PARA ORDENADOR PERSONAL ANALIZADOR LÓGICO VIRTUAL PARA ORDENADOR PERSONAL F. QUILES 1, M. ORTIZ 1, C. MORENO 1, E. SÁEZ 1, J. MILLÁN 1, M. ROLDÁN 1 1 Área de Arquitectura y Tecnología de Computadores. Departamento de Arquitectura

Más detalles

ELECTRÓNICA DIGITAL (P2000)

ELECTRÓNICA DIGITAL (P2000) ELECTRÓNICA DIGITAL (P2000) DISEÑO FINAL CRONÓMETRO Curso 2010/2011 Cronómetro Pág: 2 de 5 INDICE 1 Descripción...3 2 Objetivos...3 3 Otras consideraciones...4 4 Material entregado...4 5 Mejoras...4 Cronómetro

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Universidad de Alcalá Curso Académico 2014/2015 Curso 3º Cuatrimestre 1º Ejercicio 1 Se dispone de chips de EEPROM de 2Kx8. Realice la ampliación a 8Kx8 manteniendo una

Más detalles

Sílabo ARDUINO Y SENSORES. Especialista en Robótica Arduino. (24 Horas) INSTITUTO DE EDUCACIÓN SUPERIOR TECNOLÓGICO PRIVADO COORDINACIÓN ACADÉMICA

Sílabo ARDUINO Y SENSORES. Especialista en Robótica Arduino. (24 Horas) INSTITUTO DE EDUCACIÓN SUPERIOR TECNOLÓGICO PRIVADO COORDINACIÓN ACADÉMICA Sílabo ARDUINO Y SENSORES Especialista en Robótica Arduino (24 Horas) IEST Privado COMPUTRONIC TECH. 1 I. DATOS ADMINISTRATIVOS CURSO CÓDIGO Arduino y Sensores. HORAS REQUISITOS 24 Horas (4 Teoría / 20

Más detalles

PRÁCTICAS DE ELECTRÓNICA DIGITAL

PRÁCTICAS DE ELECTRÓNICA DIGITAL PRÁCTICAS DE ELECTRÓNICA DIGITAL Práctica 0: CONEXIÓN DE LOS CIRCUITOS INTEGRADOS (C.I.) 1º: Para que funcionen correctamente, han de estar conectados a una tensión de 5V. Para realizar esto, el polo (+)

Más detalles

6 10 3,5 2,0 4,5. PROGRAMA DE CURSO Código Nombre EL 4002. Sistemas Digitales Nombre en Inglés Digital Systems SCT

6 10 3,5 2,0 4,5. PROGRAMA DE CURSO Código Nombre EL 4002. Sistemas Digitales Nombre en Inglés Digital Systems SCT PROGRAMA DE CURSO Código Nombre EL 4002 Sistemas Digitales Nombre en Inglés Digital Systems SCT Unidades Horas de Horas Docencia Horas de Trabajo Docentes Cátedra Auxiliar Personal 6 10 3,5 2,0 4,5 Requisitos

Más detalles

ARQUITECTURA DE LOS AUTOMATAS PROGRAMABLES

ARQUITECTURA DE LOS AUTOMATAS PROGRAMABLES ARQUITECTURA DE LOS AUTOMATAS PROGRAMABLES Un autómata programable es: Un equipo electrónico, basado en un microprocesador o microcontrolador, que tiene generalmente una configuración modular, puede programarse

Más detalles

Anexo Enlace Contable

Anexo Enlace Contable Anexo Enlace Contable Natural Software - Instructivos A Activación de Enlace Contable... Asignación de Cuentas Contables... Asignación de otras cuentas contables.... Asignación masiva de Cuentas Contables...

Más detalles

MICROCONTROLADORES PIC

MICROCONTROLADORES PIC EL TECLADO MATRICIAL MANEJO DE INTERRUPCIONES MICROCONTROLADORES PIC EJERCICIOS TECLADO HEXADECIMAL.03B CCFF D.P.E. MÓDULO DE PROYECTOS 1 EL TECLADO MATRICIAL 4X4 Y LA INTERRUPCIÓN RBIF Manejo Del Teclado

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

Guía rápida de Comienzo para IDS VCM

Guía rápida de Comienzo para IDS VCM Contenido Contenido------------------------------------------------------------------------------------------------------------------------------- 1 INICIO RAPIDO IDSVCM---------------------------------------------------------------------------------------------------------

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

MultiSIM 9 Simulación y Captura. Guía rápida

MultiSIM 9 Simulación y Captura. Guía rápida MultiSIM 9 Simulación y Captura Guía rápida Guía de usuario rápida con MultiSim 9 Este pequeño libro le permitirá hacer un recorrido por las funciones de captura de esquemáticos, la simulación y el análisis

Más detalles