SEMINARIO DE EXTENSIÓN DE ISLD

Tamaño: px
Comenzar la demostración a partir de la página:

Download "SEMINARIO DE EXTENSIÓN DE ISLD"

Transcripción

1 SEMINARIO DE EXTENSIÓN DE ISLD Sergio Noriega

2 OBJETIVOS EL PRESENTE SEMINARIO TIENE COMO OBJETIVO, INTRODUCIR A LOS ALUMNOS AL DISEÑO Y VERIFICACIÓN DE CIRCUITOS DIGITALES COMPLEJOS, EMPLEANDO TÉCNICAS BASADAS EN LENGUAJE DE DESCRIPCIÓN DE ALTO NIVEL DE ABSTRACCIÓN,COMO ES EL VHDL Y EN PARTICULAR, ADENTRARSE EN EL DESARROLLO DE UN SISTEMA MICROPROCESADOR, EL CUAL ESTARÁ TOTALMENTE CONTENIDO DENTRO DE UNA FPGA. A DIFERENCIA DE LOS ESQUEMAS TRADICIONALES DE ENSEÑANZA PARA ENCARAR EL DISEÑO DE UN MICRO, AQUÍ SE EMPLEARÁ UNA FILOSOFÍA BASADA EN DISEÑAR HARDWARE PARA EL CONTROL DE UNA MEMORIA RAM, LA CUAL IRÁ EVOLUCIONANDO PASO A PASO. EN FORMA SECUENCIAL SE IRÁ INCREMENTANDO LA CAPACIDAD DE PROCESAMIENTO DE DICHA UNIDAD DE CONTROL, PUDIENDO SER EXTENSIVO PARA EL CONTROL DE OTROS PERIFÉRICOS, TALES COMO CONVERSORES DE DATOS, GENERADORES PWM, PLL S, ETC. SE TRABAJARÁ EN BASE A UN MICRO CON ARQUITECTURA TIPO CISC DONDE LA CPU SE DISEÑARÁ EN BASE A MÁQUINA DE ESTADOS. DADO QUE EL DISEÑO SE DESARROLLARÁ DE MANERA SECUENCIAL, INCREMENTANDO EN CADA PROYECTO LA COMPLEJIDAD DE FUNCIONES, NO SE CONSIDERARÁN OPTIMIZACIONES EN CUANTO AL REORDENAMIENTO DE ESTADOS REQUERIDOS, (SALVO ALGUNA EXCEPCIÓN) A FIN DE SIMPLIFICAR EL SEGUIMIENTO DE LA EVOLUCIÓN DE LA MÁQUINA DE ESTADOS. Sergio Noriega

3 DIAGRAMA EN BLOQUES DE UN SISTEMA BÁSICO DE MICROPROCESADOR BUS DE CONTROL up BUS DE DIRECCIONES Memoria + Periféricos BUS DE DATOS Sergio Noriega

4 PROYECTO RAM Descripción en VHDL de una memoria SRAM de simple puerto (RAM) Sergio Noriega

5 MEMORIA RAM MEMORIA FPGA address 12 BUS DIR MEM GENERADOR DE ESTÍMULOS DESDE TEST BENCH clock wren q BUS DATA MEM OUT 16 data BUS DATA MEM IN RAM

6 RAM ESTA ES UNA DE LAS POSIBLES MANERAS DE DESCRIBIR MEMORIA RAM SINCRÓNICA PARA QUE LUEGO EL COMPILADOR RECONOZCA Y SELECCIONE LOS BLOQUES DE RAM DEDICADOS PARA SU IMPLEMENTACIÓN. VENTAJA: DISEÑO OPTIMIZADO. DESVENTAJA: NO ES PORTABLE A OTRAS FPGA QUE NO TENGAN RAM DEDICADA.

7 RAM REGISTROS = 4096 ANCHO PALABRA =16 MODELO DE RAM CONFIGURADA: ADDRESS, DATA IN, WREN = SINCRO. DATA OUT = ASINCRO. USE RAM DEDICADA

8 RAM

9 RAM CONTENIDO DEL ARCHIVO RAM.HEX PARA ESTE PROYECTO FILE CONTENIDO EN HEXA DE LA MEMORIA RAM UNA VEZ CREADA. EN FILE NEW MEMORY FILES: SE ELIGE EN ESTE CASO, HEXADECIMAL FILE.

10 RAM REPORTE FINAL DEL COMPILADOR DETECCIÓN DEL COMPILADOR DE MEMORIA RAM

11 RAM Descripción del testbench en VHDL de la memoria SRAM especificada anteriormente.

12 RAM Después de declarar e instanciar la entidad de la memoria a probar, se generan dos procesos concurrentes: 1 El del reloj, denominado gen_reloj (en esta filmina). 2 El de las señales estímulo, denominado estimulos (en la segunda filmina).

13 RAM Diagrama temporal del TEST de la memoria RAM LECTURA DE LA RAM ESCRITURA DE LA RAM LECTURA SE LEEN LAS 10 PRIMRAS POSICIONES DE MEMORIA RAM, LUEGO SE LAS ESCRIBE CON NÚMEROS CONCECUTIVOS Y SE VUELVEN A LEER ESAS 20.

14 RAM SECUENCIA DE INICIAL DE LECTURA DE DATOS: SE LEEN TODOS X FFFF SEGÚN LA INICIALIZACIÓN DE LA MEMORIA.

15 RAM LUEGO DE LA SECUENCIA DE ESCRITURA SE LEEN LOS DATOS PREVIAMENTE ESCRITOS.

16 CU1 PROYECTO CU1 Descripción de un control de memoria RAM elemental para escritura-lectura con una máquina de estado y un registro contador. Sergio Noriega

17 X 0000 MEMORIA RAM MEMORIA X 0000 PC16 FPGA RST CLK address 12 BUS DIR MEM VALORES CONSTANTES opselecpc, clockpc clock CONTROL clock wren 16 q BUS DATA MEM OUT 16 data BUS DATA MEM IN CU1

18 PC16 PC16 FPGA clockpc opselecpc (1..0) GENERADOR DE ESTÍMULOS DESDE TEST BENCH entradapc salidapc 16 16

19 PC16

20 PC16

21 PC16 Sergio Noriega

22 PC16

23 CU1 INSTANCIACIÓN DE LAS ENTIDADES RAM Y PC16 DESCRIPTAS EN ARCHIVOS SEPARADOS E INVOCADOS AQUÍ COMO COMPONENTES

24 CU1

25 CU1

26 CU1 REPORTE DEL COMPILADOR

27 CU1 DIAGRAMA TEMPORAL MOSTRANDO LA EVOLUCIÓN DE LAS SEÑALES

28 CU2 PROYECTO CU2 Descripción de un control de memoria RAM elemental para escritura-lectura con una máquina de estado y un registro contador y latch para el bus de direcciones. Sergio Noriega

29 data MEMORIA MEMORIA X 0000 PC16 MAR CU2 FPGA RST CLK BUS DIR MEM CONTROL BUS CONTROL BUS DATA MEM IN BUS DATA MEM OUT

30 CU2 Trabajos a realizar en este proyecto: 1) Leer el dato desde la RAM (posición de memoria X 0000 ). 2) Incrementar en 1 el contenido de contador PC16. 3) Leer el dato desde la RAM de la siguiente posición de memoria. 4) Incrementar en 1 el contenido de contador PC16. 5) Leer el dato desde la RAM. Sergio Noriega

31 Descripción en VHDL de un registro-contador de 16 bits preseteable (PC16) Sergio Noriega

32 Descripción en VHDL de un registro de 16 bits (MAR16) Sergio Noriega

33 MAR16 MAR FPGA clockmar GENERADOR DE ESTÍMULOS DESDE TEST BENCH escribirmar entradamar salidamar 16 16

34 MAR

35 MAR

36 MAR

37 MAR

38 CU2

39 CU2

40 CU2 CARGO PC16 CON X 0000 PROGRAMO NUEVO ESTADO DESACTIVO PC16 PROGRAMO NUEVO ESTADO

41 CU2 ESCRIBO REGISTRO MAR PROGRAMO COMO NUEVO ESTADO DESACTIVO REGISTRO MAR PROGRAMO COMO NUEVO ESTADO INCREMENTO PC16 PROGRAMO NUEVO ESTADO DESACTIVO REGISTRO PC16 PROGRAMO COMO NUEVO ESTADO

42 CU2 ESCRIBO REGISTRO MAR PROGRAMO COMO NUEVO ESTADO DESACTIVO REGISTRO MAR PROGRAMO COMO NUEVO ESTADO

43 CU2 TEST-BENCH DEL PROYECTO CU2

44 CU2 TEST-BENCH DEL PROYECTO CU2

45 CU2

46 CU2 MEMORIA RAM PREVIAMENTE INICIALIZADA CON DATOS

47 CU2

48 CU3 PROYECTO CU3 DISEÑO EN VHDL DE CONTROLADOR DE ESCRITURA Y LECTURA DE UNA MEMORIA RAM. Sergio Noriega

49 MEMORIA MEMORIA PC16 MAR X 0000 CU3 RST FPGA CLK MAR: MEMORY ADDRESS REGISTER BUS DIR MEM MDRI: MEMORY DATA-IN REGISTER RX: DATA REGISTER MDRO: MEMORY DATA-OUT REGISTER RX MDRO MDRI CONTROL BUS CONTROL BUS DATA MEM IN BUS DATA MEM OUT

50 Descripción en VHDL de un registro de 16 bits (MDRO) Sergio Noriega

51 MDRO FPGA clockmdro GENERADOR DE ESTÍMULOS DESDE TEST BENCH escribirmdro entradamdro salidamdro MDRO

52 MDRO

53 MDRO TEST-BENCH DE MDRO

54 MDRO

55 Descripción en VHDL de un registro de 16 bits (MDRI) Sergio Noriega

56 MDRI FPGA clockmdri GENERADOR DE ESTÍMULOS DESDE TEST BENCH escribirmdri entradamdri salidamdri MDRI

57 Descripción en VHDL de un registro-contador de 16 bits preseteable (RX) Sergio Noriega

58 RX RX FPGA clockrx opselecrx (1..0) GENERADOR DE ESTÍMULOS DESDE TEST BENCH entradarx salidarx resetrx

59 RX

60 RX TEST-BENCH DE RX

61 RX

62 RX Diagrama temporal de la prueba del registro de datos RX LATCH INC RESET SINCR. DEC LATCH

63 CU3

64 CU3

65 CU3

66 CU3

67 CU3

68 CU3

69 CU3

70 CU3

71 CU3

72 CU3

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE 1 SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE tiempo de acceso RAM, algunas veces se usa cerrojo en el Juan Manuel Narváez Sánchez, Carlos Andrés Moreno Tenjica, Estudent Member IEEE

Más detalles

(1) Unidad 1. Sistemas Digitales Basados en Microprocesador SISTEMAS BASADOS EN MICROPROCESADORES. Grado en Ingeniería Informática EPS - UAM

(1) Unidad 1. Sistemas Digitales Basados en Microprocesador SISTEMAS BASADOS EN MICROPROCESADORES. Grado en Ingeniería Informática EPS - UAM Unidad 1 Sistemas Digitales Basados en Microprocesador SISTEMAS BASADOS EN MICROPROCESADORES Grado en Ingeniería Informática EPS - UAM Índice 1. Sistemas digitales basados en microprocesador. 1.1. Arquitectura

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

Tema: Microprocesadores

Tema: Microprocesadores Universidad Nacional de Ingeniería Arquitectura de Maquinas I Unidad I: Introducción a los Microprocesadores y Microcontroladores. Tema: Microprocesadores Arq. de Computadora I Ing. Carlos Ortega H. 1

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

PROGRAMA DE MATERIA DATOS DE IDENTIFICACIÓN ORGANIZACIÓN COMPUTACIONAL DEPARTAMENTO ACADÉMICO: SISTEMAS ELECTRONICOS CLAVE DE LA 7

PROGRAMA DE MATERIA DATOS DE IDENTIFICACIÓN ORGANIZACIÓN COMPUTACIONAL DEPARTAMENTO ACADÉMICO: SISTEMAS ELECTRONICOS CLAVE DE LA 7 DATOS DE IDENTIFICACIÓN MATERIA: ORGANIZACIÓN COMPUTACIONAL CENTRO ACADÉMICO: DEPARTAMENTO ACADÉMICO: PROGRAMA EDUCATIVO: AÑO DEL PLAN DE ESTUDIOS: 2009 SEMESTRE: 3 ÁREA ACADÉMICA: ELECTRÓNICA DIGITAL

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas MARCO TEORICO. Estructura Interna

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas MARCO TEORICO. Estructura Interna AUTOMATIZACION GUIA DE TRABAJO 3 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

Introducción a la arquitectura de computadores

Introducción a la arquitectura de computadores Introducción a la arquitectura de computadores Departamento de Arquitectura de Computadores Arquitectura de computadores Se refiere a los atributos visibles por el programador que trabaja en lenguaje máquina

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 7

Sistemas Electrónicos Digitales. PRACTICA nº 7 PRACTICA nº 7 Diseño de Memorias RAM y ROM. Síntesis e implementación sobre dispositivos FPGA. Síntesis empleando FFs y síntesis utilizando bits de RAM dedicados Objetivos: Descripción y síntesis de memorias

Más detalles

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008 Introducción a los Sistemas Lógicos y Digitales 2008 Métodos de análisis: Tabla de verdad. Heurístico. Diagramas de estado. Simulación. Test del hardware. etc... Ejemplo de análisis heurístico (planteo

Más detalles

PIC 18F45XX CARACTERÍSTICAS GENERALES

PIC 18F45XX CARACTERÍSTICAS GENERALES PIC 18F45XX CARACTERÍSTICAS GENERALES 1. Características generales CPU con arquitectura Harvard (77 instrucciones) Todas las instrucciones constan de 1 sola palabra de 16 bits (2 bytes) excepto las de

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase. DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 3 Objetivo Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas

Más detalles

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Programa. Introducción. 2. Elementos de almacenamiento. 3. Elementos de proceso. 4. Elementos de interconexión.

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

CICLOS DEL PROCESADOR

CICLOS DEL PROCESADOR UNIDAD DE CONTROL CICLOS DEL PROCESADOR Qué es un ciclo de búsqueda? Para qué sirve estudiar los ciclos de instrucción de una CPU? Para comprender el funcionamiento de la ejecución de instrucciones del

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

Unidad V: Puertos y buses de comunicación para microcontroladores

Unidad V: Puertos y buses de comunicación para microcontroladores Unidad V: Puertos y buses de comunicación para microcontroladores OPERACIÓN DE ESCRITURA EN LOS PUERTOS DEL MICROCONTROLADOR 8051. La operación de escritura, utilizando los puertos puede ser realizada

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

CONTENIDO Capitulo 2. DE CONMUTACION

CONTENIDO Capitulo 2. DE CONMUTACION CONTENIDO 1.1. Introduccion 1 1.2. Postulados del Algebra de Boole 5 1.3. Algebra de Boole de dos elementos... 7 1.4. Dualidad 8 1.5. Teoremas del Algebra de Boole 8 1.6. La teoria de conjuntos como un

Más detalles

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT. Tema 4 Parte 1: Tecnologías de configuración Parte 2: Lenguajes de descripción de Hardware#4 TECNOLOGÍA Tipo de elemento de configuración utilizado. La tecnología de los elementos de configuración influye

Más detalles

SISTEMAS OPERATIVOS Arquitectura de computadores

SISTEMAS OPERATIVOS Arquitectura de computadores SISTEMAS OPERATIVOS Arquitectura de computadores Erwin Meza Vega emezav@unicauca.edu.co Esta presentación tiene por objetivo mostrar los conceptos generales de la arquitectura de los computadores, necesarios

Más detalles

Arquitectura Von Neumann

Arquitectura Von Neumann Arquitectura Von Neumann Arquitectura Von Neumann Establecida en 1945 por Von Neumann Modelo básico de arquitectura utilizado en la mayoría de los computadores Su idea es la de conectar permanentemente

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

1.1.- CONCEPTOS Automatismo: Sistema que permite ejecutar una o varias acciones sin intervención manual.

1.1.- CONCEPTOS Automatismo: Sistema que permite ejecutar una o varias acciones sin intervención manual. Automatización Industrial INTRODUCCIÓN A LA AUTOMATIZACIÓN 1 1.- INTRODUCCIÓN A LA AUTOMATIZACIÓN 1.1. CONCEPTOS. 1.2. TÉCNICAS DE CONTROL Y MANDO. 1.3. SISTEMAS PARA LA AUTOMATIZACIÓN. 1.4. SISTEMAS P.L.C.

Más detalles

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik Objetivos Objetivos Arquitecturas von Neumann Otras Unidad Central de Procesamiento (CPU) Responsabilidades Requisitos Partes de una CPU ALU Control & Decode Registros Electrónica y buses 2 Índice Capítulo

Más detalles

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU)

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU) INDICE Capitulo 1. Qué es un computador? 1.1. Introducción 1 1.2. El computador como dispositivo electrónico 2 1.3. Cómo se procesa la información? 3 1.4.Diagrama de bloques de un computador 1.4.1. Información

Más detalles

Arquitectura de Computadoras. Anexo Clase 8 Buses del Sistema

Arquitectura de Computadoras. Anexo Clase 8 Buses del Sistema Arquitectura de Computadoras Anexo Clase 8 Buses del Sistema Estructuras de interconexión Todas las unidades han de estar interconectadas. Existen distintos tipos de interconexiones para los distintos

Más detalles

Memorias ROM: FPGA-VHDL Cómo???

Memorias ROM: FPGA-VHDL Cómo??? Memorias ROM: FPGA-VHDL Cómo??? Nota Técnica 03 Cristian Sisterna Introducción Básicamente hay dos formas de que una memoria ROM sea implementada en un FPGA a partir del código VHDL: - Deduciendo la memoria

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014.

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014. Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014 Práctica #3 1) Qué es un latch? Qué es un flip-flop? 2) Si se aplican

Más detalles

PREGUNTAS INFORMÁTICA MONITOR UPB EXAMEN 1

PREGUNTAS INFORMÁTICA MONITOR UPB EXAMEN 1 PREGUNTAS INFORMÁTICA MONITOR UPB EXAMEN 1 1. Cuál de los siguientes componentes no forma parte del esquema general de un ordenador? A Memoria Principal B Disco Duro C Unidad de Control D Unidad Aritmético

Más detalles

3 - Arquitectura interna de un up

3 - Arquitectura interna de un up cei@upm.es 3 - Arquitectura interna un up Componentes básicos Lenguaje ensamblador y código máquina Ciclo básico ejecución una instrucción Algunos ejemplos Universidad Politécnica Madrid Componentes básicos

Más detalles

Unidad I: Organización del Computador. Ing. Marglorie Colina

Unidad I: Organización del Computador. Ing. Marglorie Colina Unidad I: Organización del Computador Ing. Marglorie Colina Arquitectura del Computador Atributos de un sistema que son visibles a un programador (Conjunto de Instrucciones, Cantidad de bits para representar

Más detalles

Estructura de Computadores

Estructura de Computadores Estructura de Computadores Tema 4. El procesador Departamento de Informática Grupo de Arquitectura de Computadores, Comunicaciones y Sistemas UNIVERSIDAD CARLOS III DE MADRID Contenido Elementos de un

Más detalles

Código: ARC-265. Horas Semanales: 6. Prelaciones: INI-154

Código: ARC-265. Horas Semanales: 6. Prelaciones: INI-154 INSTITUTO UNIVERSITARIO JESÚS OBRERO PROGRAMA DE ESTUDIO Unidad Curricular: Arquitectura y Estructura del Computador Carrera: Informática Semestre: Segundo Código: ARC-265 Horas Semanales: 6 Horas Teóricas:

Más detalles

Organización de Computadoras. Turno Recursantes Clase 8

Organización de Computadoras. Turno Recursantes Clase 8 Organización de Computadoras Turno Recursantes Clase 8 Temas de Clase Subsistema de Memoria Organización de Memoria Principal Notas de clase 8 2 Memoria Velocidad del procesador: se duplica cada 18 meses

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

Tutorial de ModelSim PE Student Edition

Tutorial de ModelSim PE Student Edition Tutorial de ModelSim PE Student Edition Instalación Ir a http://portal.model.com/modelsim/downloads/license_agreement_form_gen.asp Rellenar los datos y selecionar Request Download Seleccionar el enlace

Más detalles

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera.

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Simulación avanzada con Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Desc. del Problema Descripción HDL Synthesis Place and Route / Fit 2 - Generalidades - Generación de Estímulos Agenda - Asignaciones

Más detalles

TEMA 4. Unidades Funcionales del Computador

TEMA 4. Unidades Funcionales del Computador TEMA 4 Unidades Funcionales del Computador Álvarez, S., Bravo, S., Departamento de Informática y automática Universidad de Salamanca Introducción El elemento físico, electrónico o hardware de un sistema

Más detalles

Estructura del Computador

Estructura del Computador Estructura del Computador 1 definiciones preliminares Estructura: es la forma en que los componentes están interrelacionados Función: la operación de cada componente individual como parte de la estructura.

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

5. Microcontroladores de 32 bits. (C) 2007 Ibercomp S. A.

5. Microcontroladores de 32 bits. (C) 2007 Ibercomp S. A. 0LFURFRQWURODGRUHVGHELWV Cada vez existen más equipos que incorporan un microcontrolador en su sistema con el fin de aumentar de manera importante sus prestaciones, reducir su tamaño y coste, mejorar su

Más detalles

Técnicas Digitales III

Técnicas Digitales III Universidad Tecnológica Nacional Facultad Regional San Nicolás Técnicas Digitales III Familia 56800 DSP56F801 1 DSP56F801 Nombre funcional Nº Pines Power (V DD ) 5 Ground (V SS ) 6 Supply Cap. 2 PLL y

Más detalles

INTRODUCCIÓN A LOS MICROPROCESADORES

INTRODUCCIÓN A LOS MICROPROCESADORES INTRODUCCIÓN A LOS MICROPROCESADORES Por Manuel Rico Secades Tecnología Electrónica Universidad de Oviedo BUSCANDO FALLOS EN EL ESQUEMA DE UN MICROPROCESADOR Chipworks Inc Canada El Microprocesador es

Más detalles

EL MICROPROCESADOR INTRODUCCION

EL MICROPROCESADOR INTRODUCCION INTRODUCCION El microprocesador o CPU es un dispositivo electrónico digital, integrado, programable y de actuación secuencial que constituye el cerebro del computador. Funcionalmente, es pues un dispositivo

Más detalles

Prácticas de Máquina Sencilla con FPGA

Prácticas de Máquina Sencilla con FPGA TITULACIÓN: Enginyeria Tècnica Industrial en Electrònica Industrial AUTOR: Alan Morato Gomis DIRECTOR: Enrique F. Cantó Navarro FECHA: junio del 2011. Índice General 1 Índice General 2 Introducción Pág.

Más detalles

Ciclos de máquina. Otro ejemplo: decremento de un registro par (donde rp = HL, DE, BC, SP) DCX rp

Ciclos de máquina. Otro ejemplo: decremento de un registro par (donde rp = HL, DE, BC, SP) DCX rp Ciclos de máquina Otro ejemplo: decremento de un registro par (donde rp = HL, DE, BC, SP) DCX rp Acceso a periféricos: función del pin IO/M Este μp cuenta con dos instrucciones específicas para I/O, llamadas

Más detalles

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos).

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria Típica. Los datos almacenados en memoria tienen que pasar, en un momento

Más detalles

ENIAC, Primer computador electrónico y su panel de conexiones

ENIAC, Primer computador electrónico y su panel de conexiones -1 La arquitectura de Von Neumann. Los primeros computadores se programaban en realidad recableándolos. Esto prácticamente equivalía a reconstruir todo el computador cuando se requería de un nuevo programa.

Más detalles

Diseño de un motor de rotación gráfico 3D basado en el algoritmo CORDIC

Diseño de un motor de rotación gráfico 3D basado en el algoritmo CORDIC Sistemas Digitales - 66.17 Trabajo Práctico Diseño de un motor de rotación gráfico 3D basado en el algoritmo CORDIC Primer Cuatrimestre de 2013 Sistemas Digitales - 66.17 Facultad de Ingeniería - UBA 2

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

CONTEXTO DE LA MEMORIA EN UN SISTEMA DE CÓMPUTO M E M O R I A S

CONTEXTO DE LA MEMORIA EN UN SISTEMA DE CÓMPUTO M E M O R I A S 152 CONTEXTO DE LA MEMORIA EN UN SISTEMA DE CÓMPUTO M E M O R I A S La manipulación la información binaria en la mayoría de los procesos lógicos en electrónica digital y en general en los sistemas de cómputo,

Más detalles

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 5 ENTRADA/SALIDA. JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ Tema 5.

2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 5 ENTRADA/SALIDA. JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ Tema 5. ARQUITECTURAS DE COMPUTADORES 2º CURSO INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN TEMA 5 ENTRADA/SALIDA JOSÉ GARCÍA RODRÍGUEZ JOSÉ ANTONIO SERRA PÉREZ Tema 5. Unidad de E/S 1 Unidad de E/S Indice Introducción.

Más detalles

Funcionamiento de la Pila (o stack)

Funcionamiento de la Pila (o stack) Funcionamiento de la Pila (o stack) Todo μp cuenta con una memoria de almacenamiento temporal denominada Pila Es una estructura de datos de tipo secuencial (LIFO) Existen dos operaciones básicas posibles:

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

VOCABULARIO DEL HARDWARE. Docente Yeni Ávila

VOCABULARIO DEL HARDWARE. Docente Yeni Ávila VOCABULARIO DEL HARDWARE Docente Yeni Ávila HARDWARE El Hardware Básico: son las piezas fundamentales e imprescindibles para que la computadora funcione como son: Placa base, monitor, teclado y ratón.

Más detalles

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER Departamento de Electrónica Electrónica Digital Mapas de memoria Bioingeniería Facultad de Ingeniería - UNER 3/5/23 Electrónica DigitalElectrónica Digital Direccionamiento de las memorias 3/5/23 Electrónica

Más detalles

Definición: PLC: CONTROLES LOGICOS PROGRAMABLES (PLC) 23/09/2014

Definición: PLC: CONTROLES LOGICOS PROGRAMABLES (PLC) 23/09/2014 CONTROLES LOGICOS PROGRAMABLES (PLC) Cátedra: Control de Procesos Facultad de Ingeniería Universidad Nacional de Entre Ríos Definición: Aparato digital con memoria programable para el almacenamiento de

Más detalles

Taller de Firmware. Introducción al PIC16F877. Facultad de Ingeniería Instituto de Com putación

Taller de Firmware. Introducción al PIC16F877. Facultad de Ingeniería Instituto de Com putación Taller de Firmware Introducción al PIC16F877 Facultad de Ingeniería Instituto de Com putación Contenido Introducción a los microcontroladores PIC. Presentación del PIC 16F877. Introducción a los microcontroladores

Más detalles

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Universal Asynchronous receiver and transmitter: dispositivo (controlador ) que envía datos paralelos sobre una línea serie.

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 3: Memorias Objetivos Comprender qué es y cómo funciona un banco de registros Comprender cómo se realiza

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

CPU MEMORIAS CACHE. Memorias caché. Memoria caché = memoria de tamaño pequeño y acceso rápido situada entre la CPU y la memoria principal.

CPU MEMORIAS CACHE. Memorias caché. Memoria caché = memoria de tamaño pequeño y acceso rápido situada entre la CPU y la memoria principal. MEMORIAS CACHE Memoria caché = memoria de tamaño pequeño y acceso rápido situada entre la CPU y la memoria principal. Tiempo ciclo memoria > tiempo de ciclo del procesador la CPU debe esperar a la memoria

Más detalles

Caché. Matías Barbeito Organización del computador 1 2 cuatrimestre 2011

Caché. Matías Barbeito Organización del computador 1 2 cuatrimestre 2011 Caché Matías Barbeito Organización del computador 1 2 cuatrimestre 2011 Ciclo de ejecución 1. Busco próxima instrucción 2. Incremento el PC 3. Decodifico 4. Busco operandos 5. Ejecuto 6. Guardo resultados

Más detalles

Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m )

Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m ) Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m ) Presenta: Mario Alberto García-Martínez 1 Contenido Introducción Generalidades El exponenciador para GF(2 m ) El multiplicador

Más detalles

TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS

TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS LENGUAJE C, MICROCONTROLADORES, FPGA, RTOS, APLICACIONES I Departamento de Sistemas e Informática FACULTAD DE CIENCIAS EXACTAS, INGENIERÍA Y AGRIMENSURA

Más detalles

Organizacion del Computador

Organizacion del Computador Universidad Nacional de Ingeniería Facultad de Ciencias Introducción a la Ciencia de la Computación Organizacion del Computador Prof: J. Solano 2011-I Objetivos Despues de estudiar este cap. el estudiante

Más detalles

ESTRUCTURA FÍSICA DEL µp 8086

ESTRUCTURA FÍSICA DEL µp 8086 Características generales: Procesador de 16 bits Bus de direcciones de 20 bits : 1 Mbyte Bus de datos interno de 16 bits Bus de datos externo de 16 bits en el 8086 8 bits en el 8088 89 instrucciones Alimentación

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T INTRODUCCIÓN AL DISEÑO FPGA-DSP Cristian Sisterna, MSc UNSJ-C7T AGENDA Introducción Bloques DSPs en ilinx FPGAs MatLab/Simulink Simulink ilinx System Generator Ejemplo de Diseño con SysGen 2 ALGORITMOS

Más detalles

Cuál es la frecuencia de acceso de una memoria de acceso aleatorio con un tiempo de acceso de 80 nseg. y un tiempo de ciclo de 100 nseg.?.

Cuál es la frecuencia de acceso de una memoria de acceso aleatorio con un tiempo de acceso de 80 nseg. y un tiempo de ciclo de 100 nseg.?. Cuál es la frecuencia de acceso de una memoria de acceso aleatorio con un tiempo de acceso de nseg. y un tiempo de ciclo de nseg.?. f A Hz t 9 C seg Petición de lectura t A Información disponible t C Información

Más detalles

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2008 Memorias Clasificación según acceso: Aleatorio Volátiles No

Más detalles

Qué es un Microcontrolador?

Qué es un Microcontrolador? Curso de Microcontroladores Qué es un Microcontrolador? Al igual que la mayoría de las computadoras, los microcontroladores son simples ejecutores de instrucciones de propósito general. La verdadera estrella

Más detalles

Organización del Computador 1. Máquina de von Neumann Jerarquía de Niveles

Organización del Computador 1. Máquina de von Neumann Jerarquía de Niveles Organización del Computador 1 Máquina de von Neumann Jerarquía de Niveles Inicios de la computación Turing y Church sientan las bases teóricas de la computación Máquina de Turing Máquina teórica compuesta

Más detalles

El nivel ISA (II)! Conjunto de Instrucciones

El nivel ISA (II)! Conjunto de Instrucciones El nivel ISA (II) Conjunto de Instrucciones EC-2721 Arquitectura del Computador I Que es un Conjunto de Instrucciones? Colección completa de instrucciones comprendida por un procesador Lenguaje de máquina

Más detalles

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática Tema 1 Introducción. Arquitectura básica y Sistemas Operativos Fundamentos de Informática Índice Descripción de un ordenador Concepto básico de Sistema Operativo Codificación de la información 2 1 Descripción

Más detalles

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III APUNTE DEL 8155 ELECTRÓNICA DIGITAL III Revisión 1.1 Marzo, 2011 Interfaz a periférico 8155 Descripción general El chip 8155 es un dispositivo introducido por Intel en 1977. Contiene memoria RAM (SRAM)

Más detalles

Organización lógica Identificación de bloque

Organización lógica Identificación de bloque Cómo se encuentra un bloque si está en el nivel superior? La dirección se descompone en varios campos: Etiqueta (tag): se utiliza para comparar la dirección requerida por la CPU con aquellos bloques que

Más detalles

Dispositivos de memoria (Parte #2)

Dispositivos de memoria (Parte #2) Departamento de Electrónica Electrónica Digital Dispositivos de memoria (Parte #2) Bioingeniería Facultad de Ingeniería - UNER Clasificación RWM Read-Write Memories ROM Read Only Memories NVRWM Non Volatile

Más detalles

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL Sistemas Electrónicos Digitales Avanzados GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL PRÁCTICA FINAL GENERADOR AVANZADO DE FORMAS DE ONDA Dpto. Electrónica Curso 2013/2014 1. Introducción La

Más detalles

Tema 2: Implementación del núcleo de un Sistema Operativo

Tema 2: Implementación del núcleo de un Sistema Operativo Tema 2: Implementación del núcleo de un Sistema Operativo 1. Sistema Operativo Unix 2. Sistema Operativo Windows (a partir de NT) Dpto. Lenguajes y Sistemas Informáticos. Universidad de Granada 1 1. Sistema

Más detalles

PLAN DE MATERIAS ACADEMUSOFT 3.2

PLAN DE MATERIAS ACADEMUSOFT 3.2 FACULTAD DE: _Ingenierías y Tecnológicas PROGRAMA DE: Ingeniería de Sistemas NOMBRE DE LA MATERIA: ARQUITECTURA DEL COMPUTADOR Semestre: _SEXTO Código: _EL427SA No de Créditos 3 H. Teórica: 3 H. Práctica

Más detalles

Organización n del Computador 1. C13 buses

Organización n del Computador 1. C13 buses Organización n del Computador 1 C13 buses Buses Interconexiones en un computador Buses CPU ROM RAM I/O Buses Memoria ROM CPU BUS I/O Memoria RAM Buses Memoria ROM CPU I/O Memoria RAM Bus de 20 líneas Buses

Más detalles

Lo que definimos como CPU (Central Process Unit) o Unidad Central de Proceso, está estructurado por tres unidades operativamente diferentes:

Lo que definimos como CPU (Central Process Unit) o Unidad Central de Proceso, está estructurado por tres unidades operativamente diferentes: Facultad de Ciencias Exactas y Naturales y Agrimensura Departamento de Ingeniería Cátedra : Proyecto Final Apuntes : Microprocesadores Tema 6-1 : Esquema de un µp. La CPU Lo que definimos como CPU (Central

Más detalles

Reproducción de una Imagen en un Monitor VGA Utilizando un FPGA

Reproducción de una Imagen en un Monitor VGA Utilizando un FPGA 7 Reproducción de una Imagen en un Monitor VGA Utilizando un FPGA Michael Alejandro Diaz Illa, Alfredo Granados Ly Facultad de Ingeniería Electrónica y Eléctrica, Universidad Nacional Mayor de San Marcos,

Más detalles

Universidad de Guanajuato FIMEE. Electrónica Digital II

Universidad de Guanajuato FIMEE. Electrónica Digital II Universidad de Guanajuato FIMEE Departamento de Electrónica Manual de prácticas Electrónica Digital II Ing. Dr. René de J. Romero Troncoso Verano 2006. Contenido: Introducción 3 Recomendaciones generales

Más detalles

HOY en día, los arreglos de compuertas

HOY en día, los arreglos de compuertas ENINV IE 2010 Encuentro de Investigación en Ingeniería Eléctrica Zacatecas, Zac, Marzo 25 26, 2010 Plataforma para la implementación de algoritmos de Procesamiento Digital de Imágenes en arquitecturas

Más detalles

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores 2. La CPU 1. Estructura de la CPU 2. Ciclo de instrucción 3. Interfaz hardware de una CPU 4. Ciclo de reloj, memoria e instrucción Arquitectura de Computadores La CPU - 1 Vamos

Más detalles

MEMORIA. Arquitectura de Computadores I 3er tema

MEMORIA. Arquitectura de Computadores I 3er tema MEMORIA Arquitectura de Computadores I 3er tema Introducción Almacén: programa+datos Problema: Velocidad entre CPU y el sistema de memoria Necesidad de alta capacidad de almacenamiento con bajo tiempo

Más detalles

Dpto. de Electrónica 2º GM - EMTT. Tema 6 La Memoria Principal (RAM)

Dpto. de Electrónica 2º GM - EMTT. Tema 6 La Memoria Principal (RAM) Dpto. de Electrónica 2º GM - EMTT Tema 6 La Memoria Principal (RAM) La Memoria RAM. Es el sitio donde la CPU almacena los datos e instrucciones que se están utilizando en tiempo real. Todos los programas

Más detalles