Johnny Posada Contreras

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Johnny Posada Contreras"

Transcripción

1 IMPLEMENTACIÓN DE UN GENERADOR SPWM TRIFÁSICO + TERCER ARMÓNICO Y TIEMPO MUERTO SOBRE FPGA. UNA APLICACIÓN DE LA LÓGICA PROGRAMABLE EN INVERSORES DC/AC Johnny Posada Contreras Universidad Autónoma de Occidente (UAO) Cali, Colombia Grupo de Investigación en Energías (GIEN), Línea de Maquinas Eléctricas y Electrónica de Potencia Tel: Ext: joposada@cuao.edu.co RESUMEN En los sistemas inversores de tensión sinusoidales (conversores DC/AC), es necesario contar con una técnica de modulación para su implementación. Dependiendo de la aplicación final (sistemas de alimentación ininterrumpida o UPS s, drivers para motores, etc.), la estrategia de modulación a implementar cambia en sus características siendo las más importantes: la eficiencia en la conversión, contenido armónico y pérdidas por conmutación. Cuando se implementan estrategias de modulación sinusoidales en alta frecuencia, los módulos PWM integrados en sistemas microcontroladores exigen mayor desempeño en velocidad de procesamiento si se desea obtener frecuencias de conmutación mayores a 5KHz, haciendo de esta implementación una tarea dispendiosa. Este problema se soluciona usando sistemas DSP s que poseen una alta velocidad de procesamiento o diseños específicos implementados en FPGA s. En el presente artículo se presenta el diseño de un generador de señales SPWM trifásico con tercer armónico, especificación de tiempo muerto y manejo de magnitud por fase, útil para aplicaciones en inversores DC/AC orientados a drivers para motores de inducción y sistemas UPS s trifásicos para cargas no balanceadas. 1. INTRODUCCION Al momento de implementar sistemas de alimentación ininterrumpida, variadores de velocidad y, en general, cualquier tipo de circuito que convierta una señal DC a AC de frecuencia variable o fija, es necesario un puente inversor de dos niveles o más. Asociado a esto, se debe definir una estrategia de modulación o método de modulación [1]. Las estrategias de modulación determinan el desempeño del puente inversor, la eficiencia de la conversión DC/AC, la resolución de la señal sinusoidal que se genera y las perdidas por conmutación en el puente. La llegada de los dispositivos semiconductores de potencia y su buen desempeño en alta frecuencia, como los IGBT s y MOSFET s, permiten la implementación de dichos inversores con valores agregados como flexibilidad, baja distorsión armónica y mejora del factor de potencia, entre otros. La generación de técnicas PWM para inversores DC/AC y sus efectos, se ha estudiado ampliamente en las ultimas décadas [2]-[6]. Igualmente, se ha aprovechado la evolución de la electrónica para su implementación, pasando de su generación con electrónica analógica [7], la cual requiere de circuitos integrados especializados, montajes robustos y complejos, a la implementación con dispositivos integrados VLSI. En [8] y [9], se muestran implementaciones con microcontroladores y memorias, los cuales tienen un buen rango de frecuencias de generación (de 6Hz a 100Hz). Sin embargo dichas implementaciones requerían gran cantidad de componentes y espacio para su implementación. Desde los 90 s hasta hoy en día, sea presentado una buena evolución en la lógica programable, presentándose como buena opción para el diseño de este tipo de señales debido su alta integración, su velocidad de trabajo y sus bajos costos. En [10] se muestra el diseño y la implementación de un generador SPWM sobre un dispositivo ASIC y se presenta como resultado las ventajas de calibración, repetibilidad y precisión en su control. Otros trabajos recientes muestran la potencia de los DSP s (Digital Signal Processor) para la implementación de algoritmos SPWM, como ejemplo se referencia [11] y 1

2 [12], donde se usan DSP s Texas Instrument y Analog Devices respectivamente para la implementación. En este documento se presenta una mejora al trabajo referenciado en [13], el cual se inspiro en el ASIC SA8282 de Dynex semiconductor. En esta mejora se adiciona el manejo de tiempo muerto, así como también manejo de índice de modulación independiente para cada fase del circuito generador de SPWM (Sinusoidal Pulse Width Modulation) con tercer armónico, útil para sistemas conversores DC/AC no balanceados. En una primera parte del documento se hará una presentación de la generación del SPWM con tercer armónico, siguiendo con la explicación del diseño lógico del sistema, los resultados en simulación y validación del desarrollo sobre un dispositivo FPGA de ALTERA. 2. TECNICA DE MODULACIÓN SENOIDAL CON TERCER ARMONICO El SPWM con tercer armónico, se genera de igual manera que el PWM Sinusoidal, la diferencia radica en la adición de un tercer armónico de la señal fundamental para incrementar el voltaje RMS a la salida del puente inversor y así aumentar la eficiencia en la conversión DC/AC [2]. En la figura 1 se muestra la relación entre el PWM sinusoidal (SPWM) y el SPWM + 3er Armónico, en donde se aprecia el aumento del voltaje RMS, sabiendo que en un sistema trifásico el tercer armónico se elimina y queda la componente fundamental de un nivel mayor sin sobre modulación en el puente inversor. La señal de referencia responde a (1), donde x es la frecuencia a generar. Fig 2. Generación SPWM + 3er Armónico 2 1 f ( x) = sin ( x) sin( x) Para implementar el SPWM + 3er Armónico de forma digital, la señal portadora se genera mediante un contador de n bit s, el cual se incrementa hasta un máximo y se decrementa nuevamente a un mínimo. El tiempo que toma el contador para ir a su máximo valor y regresar nuevamente a su valor de arranque será el periodo de la señal portadora, definiendo así la frecuencia portadora F c. El dato presente a la salida de este contador se compara con la señal de referencia, la cual se almacena en una tabla de Lockup y es modificada por un índice de modulación. Esta comparación genera un pulso de ancho proporcional a la señal de referencia el cual se modifica para generar el antisolapamiento mediante la inclusión de un tiempo muerto t d, ver figura 3. (1) Fig 1. Comparación entre SPWM y SPWM + 3er Armónico. La adición del tercer armónico aumenta la eficiencia en la conversión DC/AC hasta en un 15.5%. Para generar un SPWM +3er Armónico la señal sinusoidal con su tercer armónico, señal de referencia, se compara con una señal triangular de alta frecuencia, señal portadora, generándose un tren de pulsos alineados al centro que son las señales que actuaran sobre los dispositivos semiconductores del puente inversor. Fig 2. Fig 3. Diagrama de bloques circuito generador SPWM+3er Armónico por fase. En la figura 4 se muestra el diagrama en bloques del generador SPWM+3er Armónico implementado en su versión trifásica. 3. DISEÑO DEL GENERADOR El esquema general del generador (Figura 4), se compone de 4 secciones fundamentales; el generador de direcciones, la tabla de lockup e índice de modulación, el 2

3 sistema y se calcula mediante (3). Si el t on de alguno de los pulsos generados en el SPWM es menor al t d programado, dicho pulso se eliminara. El efecto del tiempo muerto se ve en la figura 6. t = D (3) d F CLK donde D, es un numero entre 0 y 31 para el tiempo muerto. Fig 4. Diagrama de bloques completo del sistema generador trifásico implementado en QUARTUS II. Se muestran: Módulos generadores PWM (Bloque A), Modulo lockup table e índice de modulación (Bloque B), Módulos generadores de direcciones (Bloque C) y Maquina de Estados (Bloque D). generador PWM y la maquina de estados del sistema. Cada uno de estas secciones se comenta a continuación Generador PWM El modulo generador de PWM se encarga de generar la señal de referencia, compararla con un dato fijo proveniente de la tabla de lockup que representa una muestra de la señal sinuosidad de referencia, y determinar el tiempo muerto, ver figura 5. La señal portadora se genera mediante un contador de modulo 512, la frecuencia de la señal portadora determina la frecuencia del reloj del circuito, mediante (2). Cuando la señal de referencia completa su periodo, el contador genera una señal de control que carga el siguiente dato a compara desde un registro, el sistema de doble registro se utiliza para evitar que se presenten errores en la comparación. F CLK = 512 Fc (2) donde F C es la frecuencia de la portadora, F CLK la frecuencia de la señal de reloj del sistema y 512 es el modulo del contador que genera la portadora. Fig 6. (a) inclusión de tiempo muerto sobre los pulsos SPWM a la salida de la generación. (b) Efecto del tiempo muerto en la generación de pulsos, eliminación de pulsos Índice de modulación y lockup table Esta sección del generador consta de un multiplicador de 8 bit s, una memoria tipo ROM, un registro de 8 bit s para el índice de modulación y un circuito multiplexor para seleccionar las direcciones por fase de cada uno de los generadores de direcciones. En la memoria ROM se implementa una lockup table, donde se encuentran los patrones discretizados de un semiperiodo de la señal sinusoidal mas su tercer armónico, la cual sirve para generar el SPWM trifásico, su bus de direcciones se multiplexa con el fin de direccionarse con el valor proporcionado por los generadores de direcciones. El índice de modulación es un número entre 0 y FF, el cual corresponde a un 0 y 100% de la señal de salida respectivamente, el índice de modulación es independiente para cada fase, brindado la posibilidad de utilizar este generador en sistemas desbalanceados. La discretización de la señal de referencia se aplica según (4), donde P 0 se asume igual a -90, m variara de 1 a 768 y P m-1 es igual a A m-1 y A m es la magnitud de la señal sinusoidal + 3er armónico. A P 1 m 1 π Pm 1π Sin + 3 * Sin m = (4) Fig 5. Generador PWM. Se muestra: Generador de portadora (Bloque A), Circuito de doble registro (Bloque B), Circuito comparador generador PWM (Bloque C) y Generadores de tiempo muerto (Bloque D). El tiempo muerto es programable mediante una palabra de 5 bit s, este tiempo depende de la frecuencia del reloj del Un periodo completo se obtiene leyendo 2 veces la tabla anteriormente formada. La constante 0.235, sale de dividir los 360 de una señal sinusoidal entre el número de muestras totales (1536 = 768*2) 3.3. Modulo generador de direcciones El generador de direcciones esta formado por un contador Up/Donw programable de 16 bit s, el cual se encarga de 3

4 generar las direcciones que se deben leer de la lockup table, dependiendo de la frecuencia de la señal de referencia que se desea generar. Existe un generador de direcciones por cada fase, estos se inicializan con valores determinados que representan el desfase de las señales trifásicas, de la misma manera se deben precargar con un valor de incremento el cual es propio para cada frecuencia a generar y se determina por (5), donde el numero de muestras de la tabla es 1536 y puede cambiar según la resolución deseada de la señal sinusoidal de salida. V INC F = F GEN PORT * No _ Muestras _ de _ la _ Tabla En la figura 7 se muestra el diagrama en bloques del circuito implementado. En el se diferencian tres áreas las cuales determinan; los registros de entrada para V INC, El contador Up/Down de 16 bit s y la unidad que determina el sentido de conteo del contador de 16 bit s. (5) 9.66MHz, lo cual fija una frecuencia portadora máxima de KHz optima para aplicaciones en sistemas UPS s y variadores de velocidad para motores. En la tabla 1 se resumen los ítems más importantes en cuanto a recursos utilizados del dispositivo FPGA. Dispositivo EPF10K70RC240-4 Logia elements 715/3744 ( 19%) Total pins 51/189 (26%) Total memory bits 8192/36864 (22%) Tabla 1 Requerimientos del dispositivo En la figura 8 se muestra los resultados de simulación para una frecuencia de reloj de 9.66Hz, una frecuencia portadora de Khz, una frecuencia de la señal de referencia de 186.6Hz y un tiempo muerto de 1.553uS. Finalmente en la figura 9a y 9b se muestran los resultados experimentales. Fig 7. Generador de direcciones. Registros de entrada (Bloque A), Contador Up/Down a base de sumadores/restadores (Bloque B) y comparador de sentido de conteo (Bloque C). Fig 9a Señales SPWM generadas para un ínstate determinado, fases R y S. Se observa el ancho del pulso en cada una de las fases. 3.4 Maquina de estados La maquina de estados es un circuito secuencial simple de cinco estados, el cual se encargara de establecer el arbitraje de la interfase con el sistema microcontrolador que proporciona la programación inicial y la generación PWM. La frecuencia a generar por el modulo generador depende expresamente de la frecuencia de la señal portadora y del numero de muestras en la tabla de lockup, en [13] se muestra como determinar estas frecuencias mínimas y máximas. 4. RESULTADOS Y VALIDACIÓN El sistema se implemento usando el software QUARTUS II de Altera y para la implementación se disponía de un sistema de desarrollo UPX2 de la misma empresa en la cual se consiguió una frecuencia de reloj máxima F CLK = Fig 9b Señales SPWM generadas fases R y T. 4

5 Fig 8. Resultados de la simulación. Se muestran los PWM para las fases RST al igual que sus complementos 5. COMENTARIOS FINALES Y CONCLUSIONES Se pudo mostrar la aplicación de los dispositivos lógicos programables a la generación de señales útiles en dispositivos de conversión DC/AC. Aunque no se contó con el mejor dispositivo para la validación, el mismo se puede compilar y sintetizar sobre dispositivos cyclone los cuales son mas económicos, mas veloces y a la vez abren el panorama para la implementación de controladotes embebidos aplicados a sistemas UPS y drives para motores de inducción, con pequeños cambios (ej. La memoria debe ser sincronía para los dispositivos cyclone I y cyclone II). 6. AGRADECIMIENTOS Se agradece a la Universidad Autónoma de Occidente y a su Vicerrectoria de Investigaciones por facilitar la ejecución de esta investigación. 7. REFERENCIAS [1] Bergas Joan, Sudria Antoni, Rull Joan y Fillet Sergi, Del PWM a la modulación vectorial, Automática e Instrumentación, No 296, pp , Mayo [2] Pinewski Peter., Motor Control, McGrawHill, Charpter 8, [3] Mohan Ned, Tore M. Undeland, y William P. Robbins., Power Electronics: converters, applications and design, WILEY, [4] Rashid Muhammad H., Electrónica de Potencia. Circuitos, dispositivos y aplicaciones., McGrawHill, Charpter 8, [5] Joachim Holtz., Pulse width Modulation A Survey, IEEE Transactions on Industrial Electronics, vol 39 no 5, pp , December [6] Michael A. Boost, and Phoivos P. Ziogas., State Of The Art Carrier PWM Techniques: A Critical Evaluation, IEEE Transaction on Industrial Applications, vol. 24, no 2, pp , March./April [7] S. Yuvarajan., and Hwa-Liang Chiou., A novel Sine PWM Scheme Using Waveform Generators., IEEE Transactions on Industrial Electronics, vol 41, pp , June [8] Papadopoulos C., y et al., A variable frequency controller for three phase DC/AC inverters, IEEE International Symposium on Industrial Electronics, vol. 1, pp , July [9] Rémy Simard., y et al., A Eprom-Based PWM Modulator for a Three-Phase Soft Commutated Inverter. IEEE Transaction on Industrial Electronics, vol. 38, pp. 79 8i., February [10] Green T.C., Mirkazemi-Moud M., Goodfellow J.K., y Williams, B.W., Field-programmable gate-arrays and semicustom designs for sinusoidal and current-regulated PWM., IEE Colloquium on ASIC Technology for Power Electronics Equipment, pp. 4/1-4/4, 20 Feb [11] I Panahi., Z. Yu, y M. Arefeen., Generate Advanced PWM Signal Using DSP, Texas Instrument, Digital Control Applications Group., Mayo [12] Analog Devices inc., Three-Phase Sine-Wave Generation using the PWM Unit of the ADMC401, Application Notes AN , Enero [13] Johnny Posada C., Design of three-phase signal generator SPWM whit third harmonic on FPGA system using VHDL., GSPx Global Signal Processing Conference, September

ÍNDICE DE CONTENIDOS

ÍNDICE DE CONTENIDOS ÍNDICE DE CONTENIDOS CAPÍTULO 1. INTRODUCCIÓN A LAS FUENTES DE ENERGÍA ELÉCTRICA... 7 1.1. INTRODUCCIÓN... 9 1.2. LA RED DE SUMINISTRO ELÉCTRICO... 10 1.3. ENERGÍA ELECTROQUÍMICA... 11 1.4. ENERGÍA SOLAR

Más detalles

"B4-78" Modulación generalizada por ancho de pulso utilizando una representación en vectores espaciales implementada en el FPGA Virtex II-Pro

B4-78 Modulación generalizada por ancho de pulso utilizando una representación en vectores espaciales implementada en el FPGA Virtex II-Pro III CONGRESO VENEZOLANO DE REDES Y ENERGÍA ELÉCTRICA Comité Nacional Venezolano Marzo 2012 "B4-78" Modulación generalizada por ancho de pulso utilizando una representación en vectores espaciales implementada

Más detalles

Diseño y análisis de desempeño de un inversor de voltaje utilizando controladores inteligentes

Diseño y análisis de desempeño de un inversor de voltaje utilizando controladores inteligentes Diseño y análisis de desempeño de un inversor de voltaje utilizando controladores inteligentes Juan José Salazar Salvador Departamento de Eléctrica y Electrónica Escuela Politécnica del Ejército Sangolquí,

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

5 PULSO MULTIPLE REFERENCIA SENOIDAL MODIFICADA 6 PARAMETROS DE EFICIENCIA

5 PULSO MULTIPLE REFERENCIA SENOIDAL MODIFICADA 6 PARAMETROS DE EFICIENCIA Control de Máquinas Eléctricas Primavera 2009 INTRODUCCION 1 CIRCUITOS DE CONTROL 2 PULSO UNICO 3 PULSO MULTIPLE REFERENCIA CONSTANTE 4 PULSO MULTIPLE REFERENCIA SENOIDAL 5 PULSO MULTIPLE REFERENCIA SENOIDAL

Más detalles

DISEÑO Y CONSTRUCCIÓN DE UN INVERSOR MONOFÁSICO TIPO PUENTE CON MODULACIÓN DE ANCHO DE PULSO SENOIDAL (SPWM) DE DOS NIVELES

DISEÑO Y CONSTRUCCIÓN DE UN INVERSOR MONOFÁSICO TIPO PUENTE CON MODULACIÓN DE ANCHO DE PULSO SENOIDAL (SPWM) DE DOS NIVELES 1 DISEÑO Y CONSTRUCCIÓN DE UN INVERSOR MONOFÁSICO TIPO PUENTE CON MODULACIÓN DE ANCHO DE PULSO SENOIDAL (SPWM) DE DOS NIVELES 1 René Lara Moscoso. 2 Annel Reina Rojas. Norman Chootong 3 1 Ingeniero Eléctrico

Más detalles

MODULO Nº14 INVERSORES

MODULO Nº14 INVERSORES MODULO Nº14 INVERSORES UNIDAD: CONVERTIDORES CC - CA TEMAS: Convertidores CC CA. Conceptos Básicos del Transformador. Inversor Monofásico Push Pull. Inversor Monofásico en Puente. Inversor Trifásico en

Más detalles

Inversores. Conversión de continua a alterna

Inversores. Conversión de continua a alterna Inversores Conversión de continua a alterna Introducción Convierten corriente continua a alterna. Motores de alterna de velocidad ajustable. Sistemas de alimentación ininterrumpida. Dispositivos de corriente

Más detalles

CAPITULO 1 INTRODUCCION AL PROYECTO

CAPITULO 1 INTRODUCCION AL PROYECTO CAPITULO 1 INTRODUCCION AL PROYECTO 1 INTRODUCCION AL PROYECTO 1.1 Marco Teórico Los procesadores digitales de señales ganaron popularidad en los años sesentas con la introducción de la tecnología de estado

Más detalles

SIMULACIÓN DE LA MODULACIÓN POR AMPLITUD DE PULSOS (PAM) EN MATLAB

SIMULACIÓN DE LA MODULACIÓN POR AMPLITUD DE PULSOS (PAM) EN MATLAB SIMULACIÓN DE LA MODULACIÓN POR AMPLITUD DE PULSOS (PAM) EN MATLAB 1. OBJETIVOS: General: o Implementar en simulink un sistema de bloques que permita simular Modulación por Amplitud de Pulsos (PAM), a

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas MARCO TEORICO. Estructura Interna

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas MARCO TEORICO. Estructura Interna AUTOMATIZACION GUIA DE TRABAJO 3 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

INVERSORES RESONANTES

INVERSORES RESONANTES 3 INVERSORES RESONANTES 3.1 INTRODUCCIÓN Los convertidores de CD a CA se conocen como inversores. La función de un inversor es cambiar un voltaje de entrada en CD a un voltaje simétrico de salida en CA,

Más detalles

Current Conveyor de Segunda Generación y Bajo-Voltaje

Current Conveyor de Segunda Generación y Bajo-Voltaje Current Conveyor de Segunda Generación y Bajo-Voltaje Juan López-Hernández, José Alejandro Díaz-Méndez y Alejandro Díaz-Sánchez Grupo de Diseño de Circuitos Integrados Instituto Nacional de Astrofísica

Más detalles

Mantenimiento de equipos electrónicos. El generador de funciones y el generador de baja frecuencia.

Mantenimiento de equipos electrónicos. El generador de funciones y el generador de baja frecuencia. Mantenimiento de equipos electrónicos El generador de funciones y el generador de baja frecuencia 1/11 Aplicaciones de los generadores de funciones y generadores de baja frecuencia y diferencias entre

Más detalles

AÑO DE LA INTEGRACIÓN NACIONAL Y EL RECONOCIMIENTO DE NUESTRA DIVERSIDAD

AÑO DE LA INTEGRACIÓN NACIONAL Y EL RECONOCIMIENTO DE NUESTRA DIVERSIDAD AÑO DE LA INTEGRACIÓN NACIONAL Y EL RECONOCIMIENTO DE NUESTRA DIVERSIDAD UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICA PROFESIONAL DE INGENIERÍA

Más detalles

El sistema eléctrico del avión

El sistema eléctrico del avión ETSEIAT Última revisión: 20130202 El sistema eléctrico del avión 2. Estructura del sistema eléctrico Departament d Enginyeria Elèctrica Un primer paso, necesario, para el estudio del sistema eléctrico

Más detalles

Diseño Didáctico de Convertidores CD-CA (a): Inversor Monofásico.

Diseño Didáctico de Convertidores CD-CA (a): Inversor Monofásico. Diseño Didáctico de Convertidores CD-CA (a): Inversor Monofásico. Gabriel Luna Mejía, Gregorio Moctezuma Jiménez, Abraham Mendoza Delgado y Daniel U. Campos-Delgado, Member, IEEE. Resumen En este artículo

Más detalles

INFORME DE MONTAJE Y PRUEBAS DEL CIRCUITO ELECTRÓNICO PARA ADQUIRIR LOS POTENCIALES EVOCADOS AUDITIVOS

INFORME DE MONTAJE Y PRUEBAS DEL CIRCUITO ELECTRÓNICO PARA ADQUIRIR LOS POTENCIALES EVOCADOS AUDITIVOS INFORME DE MONTAJE Y PRUEBAS DEL CIRCUITO ELECTRÓNICO PARA ADQUIRIR LOS POTENCIALES EVOCADOS AUDITIVOS ACTIVIDADES: A02-2: Diseño de los circuitos electrónicos A02-3: Montaje y pruebas en protoboard de

Más detalles

ELECPOT - Electrónica de Potencia

ELECPOT - Electrónica de Potencia Unidad responsable: Unidad que imparte: Curso: Titulación: Créditos ECTS: 2016 840 - EUPMT - Escuela Universitaria Politécnica de Mataró 840 - EUPMT - Escuela Universitaria Politécnica de Mataró GRADO

Más detalles

Herramientas Integradas para Laboratorios de Electrónica

Herramientas Integradas para Laboratorios de Electrónica Herramientas Integradas para Laboratorios de Electrónica NI Educational Laboratory Virtual Instrumentation Suite (NI ELVIS) Integración y funcionalidad con múltiples instrumentos. Combina instrumentación,

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Inversor de Tensión controlado mediante DSP de aplicación Docente en Electrónica de Potencia y Control

Inversor de Tensión controlado mediante DSP de aplicación Docente en Electrónica de Potencia y Control Inversor de Tensión controlado mediante DSP de aplicación Docente en Electrónica de Potencia y Control M. Lamich, D. Pérez, A. Arias, V. Sala, C. Jaen, E. Aldabas Departamento Ingeniería Electrónica UNIVERSIDAD

Más detalles

Diseño e Implementación de un Variador de Velocidad para Motor CA

Diseño e Implementación de un Variador de Velocidad para Motor CA Diseño e Implementación de un Variador de Velocidad para Motor CA Gregorio Moctezuma Jiménez, Gabriel G. Luna Mejía y Daniel U. Campos-Delgado Resumen En este artículo se presenta el diseño y desarrollo

Más detalles

MARCA: SIN MARCA MODELO: SIN MODELO

MARCA: SIN MARCA MODELO: SIN MODELO PAGINA : 1DE7 B512000280070 2.00 C/U TARJETA FPGA VARITEK 20 1368.5000 2737.00 PAGINA : 2DE7 TARJETA FPGA VARITEK 20 EL MÓDULO VARITEK 20 DISPONE DEL ULTIMO MODELO DE FPGA PARA APLICACIONES DE PROCESAMIENTO

Más detalles

Conversores ADC y DAC. Introducción n a los Sistemas Lógicos y Digitales 2008

Conversores ADC y DAC. Introducción n a los Sistemas Lógicos y Digitales 2008 Conversores ADC y DAC Introducción n a los Sistemas Lógicos y Digitales 2008 Conversores Digital-analógicos (DAC) Clasificación de DAC: Formato Serie. Paralelo. Tecnología Resistencias pesadas (obsoleto).

Más detalles

PROGRAMA RESUMIDO DE CURSOS

PROGRAMA RESUMIDO DE CURSOS PROGRAMA RESUMIDO DE CURSOS Curso: Operación de sistemas eléctricos de potencia y centros de control PROGRAMA GENERAL MODULO I: MODULO II: MODULO III: MODULO IV: MODULO V: MODULO VI: Flujos de potencia

Más detalles

1.3 Describa brevemente como opera el 74123 y realice un diagrama interno de éste circuito integrado.

1.3 Describa brevemente como opera el 74123 y realice un diagrama interno de éste circuito integrado. ITESM, Campus Monterrey Laboratorio de Electrónica Industrial Depto. de Ingeniería Eléctrica Práctica 1 Instrumentación y Objetivos Particulares Conocer las características, principio de funcionamiento

Más detalles

Clasificación de los Convertidores DAC

Clasificación de los Convertidores DAC Clasificación de los Convertidores DAC Sistemas de Adquisición de datos () Según las características de la señal de entrada digital Codificación: Código: Binario Natural BCD Formato: Serie Paralelo Almacenamiento

Más detalles

Electrónica de Potencia - Inversores Curso Temas tratados en clase. C. Briozzo.

Electrónica de Potencia - Inversores Curso Temas tratados en clase. C. Briozzo. Electrónica de Potencia - Inversores Curso 2015. Temas tratados en clase. C. Briozzo. I. Introducción 1. Propósito de un inversor. Conexión de un sistema de un sistema de AC con uno de DC. Transferencia

Más detalles

CONVERSIÓN DE CORRIENTE DIRECTA A CORRIENTE ALTERNA

CONVERSIÓN DE CORRIENTE DIRECTA A CORRIENTE ALTERNA CONVERSIÓN DE CORRIENTE DIRECTA A CORRIENTE ALTERNA 5.1 Inversor. Un inversor es un dispositivo capaz de convertir la energía de corriente directa que puede estar almacenada en un banco de baterías a un

Más detalles

2.1 Diseño de un sistema básico de biotelemetría

2.1 Diseño de un sistema básico de biotelemetría 2.1 Diseño de un sistema básico de biotelemetría 2.1.1 Objetivos 4.9.1.1 Diseñar un sistema de modulación y demodulación de frecuencia. 4.9.1.2 Construir un sistema de acondicionamiento de una señal modulada

Más detalles

Congeneración Aplicada a Generadores

Congeneración Aplicada a Generadores Congeneración Aplicada a Generadores En el presente artículo, se analizan las interesantes posibilidades de implementar sistemas de cogeneración, que poseen todas aquellas empresas que cuenten con generadores

Más detalles

Control Vectorial de inversores trifásicos / y 2

Control Vectorial de inversores trifásicos / y 2 Control Vectorial de inversores trifásicos / y 2 Salvador Seguí Chilet, Francisco J. Gimeno Sales, Rafael Masot Peris, Salvador Orts Grau Dept. de Ingeniería Electrónica Universidad Politécnica de Valencia

Más detalles

El objeto de este proyecto es el de construir un robot araña controlado por un PIC16F84A.

El objeto de este proyecto es el de construir un robot araña controlado por un PIC16F84A. .. Memoria Descriptiva... Objeto El objeto de este proyecto es el de construir un robot araña controlado por un PIC6F8A.... Antecedentes El diseño original no se llegó a realizar en circuito impreso, en

Más detalles

Microchip Tips & Tricks...

Microchip Tips & Tricks... ARTICULO TECNICO Microchip Tips & Tricks... Por el Departamento de Ingeniería de EduDevices. Soluciones de Control de Motores en DC. Introducción: Cada circuito para controlar un motor puede ser dividido

Más detalles

TELECOMMUNICATION APPLICATION USING FPGA. A RADIO SOFTWARE APPROXIMATION

TELECOMMUNICATION APPLICATION USING FPGA. A RADIO SOFTWARE APPROXIMATION TELECOMMUNICATION APPLICATION USING FPGA. A RADIO SOFTWARE APPROXIMATION APLICACIONES PARA TELECOMUNICACIONES EMPLEANDO FPGAs: UNA APROXIMACIÓN A RADIO SOFTWARE Ferney Orlando Amaya Universidad Javeriana

Más detalles

1. QUÉ SON LOS ARMÓNICOS?

1. QUÉ SON LOS ARMÓNICOS? POWER ELECTRONICS ARMÓNICOS EN SECTORES INDUSTRIALES 1. QUÉ SON LOS ARMÓNICOS? Se puede demostrar que cualquier forma de onda periódica (repetitiva) puede ser representada como una serie de ondas senoidales

Más detalles

Simulación de un Regulador Difuso de Velocidad/Frecuencia tipo Takagi-Sugeno para un Motor de CD-Alternador con Lazo de Corriente

Simulación de un Regulador Difuso de Velocidad/Frecuencia tipo Takagi-Sugeno para un Motor de CD-Alternador con Lazo de Corriente Journal of Vectorial Relativity JVR 4 (9) 4 77-87 Simulación de un Regulador Difuso de Velocidad/Frecuencia tipo Takagi-Sugeno para un Motor de CD-Alternador con Lazo de Corriente J Herrera-Espinosa, V

Más detalles

Frecuencias Naturales en una Máquina de Vibraciones de Dos Dimensiones

Frecuencias Naturales en una Máquina de Vibraciones de Dos Dimensiones Frecuencias Naturales en una Máquina de Vibraciones de Dos Dimensiones "Finalmente se encontró que el dispositivo también sirve para ilustrar en forma sencilla los aspectos prácticos involucrados en la

Más detalles

8. Instrumentación y sistema de adquisición de datos

8. Instrumentación y sistema de adquisición de datos 8. Instrumentación y sistema de adquisición de datos Para poder obtener la información de interés del ensayo como son las potencias, energías, rendimientos Es necesario colocar sensores en todos los equipos.

Más detalles

Serie de Fundamentos de Mediciones con Sensores. ni.com

Serie de Fundamentos de Mediciones con Sensores. ni.com Serie de Fundamentos de Mediciones con Sensores Realice Mejores Mediciones Eléctricas Tommy Glicker Gerente de productos National Instruments Acciones clave Fundamentos de potencia Derivación de potencia

Más detalles

Módulo Didáctico para el Control de la Velocidad de un Motor de Inducción Trifásico Basado en la Tecnología de FPGA

Módulo Didáctico para el Control de la Velocidad de un Motor de Inducción Trifásico Basado en la Tecnología de FPGA Módulo Didáctico para el Control de la Velocidad de un Motor de Inducción Trifásico Basado en la Tecnología de FPGA Gutiérrez Granados Cuitláhuac cuitla@gmail.com Universidad Tecnológica de San Juan del

Más detalles

Diseño y construcción de un generador de impulso de voltaje de 10 KV

Diseño y construcción de un generador de impulso de voltaje de 10 KV Diseño y construcción de un generador de impulso de voltaje de 10 KV DIEGO FERNANDO GARCÍA G. DIEGO FERNANDO RINCÓN C. Clasificación del artículo: investigación (Conciencias) Fecha de recepción: 29 de

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Electrónica II. Guía 4

Electrónica II. Guía 4 Electrónica II. Guía 4 1 Facultad: Ingeniería. Escuela: Electrónica. Asignatura: Electrónica II. Lugar de ejecución: Fundamentos Generales (Edificio 3, 2da planta). COMPARADORES Objetivo General Verificar

Más detalles

Laboratorio Nº3. Procesamiento de señales con transistores

Laboratorio Nº3. Procesamiento de señales con transistores Laboratorio Nº3 Procesamiento de señales con transistores Objetivos iseñar redes de polarización para operar transistores JT y JFT en modo activo, y evaluar la estabilidad térmica de puntos de operación,

Más detalles

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI NOMBRE DE LA ASIGNATURA: ARQUITECTURA DE COMPUTADORAS FECHA DE ELABORACIÓN: ENERO 2005 ÁREA DEL PLAN DE ESTUDIOS: AS (

Más detalles

ELPO-E5O10 - Electrónica de Potencia

ELPO-E5O10 - Electrónica de Potencia Unidad responsable: Unidad que imparte: Curso: Titulación: Créditos ECTS: 2016 340 - EPSEVG - Escuela Politécnica Superior de Ingeniería de Vilanova i la Geltrú 710 - EEL - Departamento de Ingeniería Electrónica

Más detalles

AUTOMATIZACIÓN INDUSTRIAL

AUTOMATIZACIÓN INDUSTRIAL Departamento de Ingenieria de Sistemas y Automática AUTOMATIZACIÓN INDUSTRIAL 1 AUTOMATIZACION INDUSTRIAL 2 AUTOMATIZACION INDUSTRIAL 3 AUTOMATAS PROGRAMABLES Surgen de la necesidad de controlar automáticamente

Más detalles

CAPITULO I INTRODUCCIÓN. Diseño Digital

CAPITULO I INTRODUCCIÓN. Diseño Digital CAPITULO I INTRODUCCIÓN Diseño Digital QUE ES DISEÑO DIGITAL? UN SISTEMA DIGITAL ES UN CONJUNTO DE DISPOSITIVOS DESTINADOS A LA GENERACIÓN, TRANSMISIÓN, PROCESAMIENTO O ALMACENAMIENTO DE SEÑALES DIGITALES.

Más detalles

Nota Técnica /0009-2012 Microchip Tips & Tricks

Nota Técnica /0009-2012 Microchip Tips & Tricks Nota Técnica /0009-2012 Microchip Tips & Tricks Por el Departamento de Ingeniería de Electrónica Elemon S.A. Soluciones y Diseños de Fuentes Inteligentes. Tip 99 Control remoto Infrarrojo para activación

Más detalles

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios.

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios. 1. DATOS DE LA ASIGNATURA Nombre de la asignatura Carrera Clave de la asignatura Horas teoría-horas práctica-créditos Electrónica II Electromecánica EMM-0516 3-2-8 2. HISTORIA DEL PROGRAMA Lugar y fecha

Más detalles

Objetos de aprendizaje: Computadora

Objetos de aprendizaje: Computadora MOMENTO I. BLOQUE 1. Opera las funciones básicas del sistema operativo y garantiza la seguridad de la información Objetos de aprendizaje: Computadora Lectura 1: La Computadora La computadora es una máquina

Más detalles

CALIBRACIÓN DE CRONÓMETROS

CALIBRACIÓN DE CRONÓMETROS CALIBRACIÓN DE CRONÓMETROS Ing. Henry Postigo Linares hpostigo@indecopi.gob.pe Día mundial de la Metrología 20 de Mayo de 2010 CONTENIDO 1.- Introducción 2.- Definiciones 3.- Métodos de Calibración 4.-

Más detalles

PLANTA DE GENERACION ELECTRICA MANUAL

PLANTA DE GENERACION ELECTRICA MANUAL PLANTA DE GENERACION ELECTRICA MANUAL Mge-15MC 13.9KW 17.5KVA Planta eléctrica EMESA PERKINS de 13.9KW, 17.5KVA ensamblada con motor PERKINS, generador Stamford, tablero de instrumentos multifuncional

Más detalles

Comunicaciones I. Capítulo 4 CODIFICACIÓN Y MODULACIÓN

Comunicaciones I. Capítulo 4 CODIFICACIÓN Y MODULACIÓN Comunicaciones I Capítulo 4 CODIFICACIÓN Y MODULACIÓN 1 Resumen de lo visto en el Capítulo 4 Se analizó la diferencia entre datos analógicos y digitales, y entre señales analógicas y digitales. A partir

Más detalles

Sesión VI: Desarrollo de aplicaciones en el entorno MATLAB

Sesión VI: Desarrollo de aplicaciones en el entorno MATLAB 1 Sesión VI: Desarrollo de aplicaciones en el entorno MATLAB LPI: Control y Procesamiento Digital de Señales Por: Ricardo Alzate Castaño UNIVERSIDAD NACIONAL DE COLOMBIA Sede Manizales Segundo Semestre

Más detalles

Trabajo opcional tema 4: modulación

Trabajo opcional tema 4: modulación Trabajo opcional tema 4: modulación Alberto Mateos Checa I. Telecomunicación 2 Trabajo opcional tema 4: modulación angular ÍNDICE DE CONTENIDOS: 1. Introducción.... 3 2. Diseño.... 3 2.1. Sistema completo....

Más detalles

Electrónica. Carrera: Clave de la asignatura: Participantes. Representantes de las academias de Ingeniería Mecánica de Institutos Tecnológicos.

Electrónica. Carrera: Clave de la asignatura: Participantes. Representantes de las academias de Ingeniería Mecánica de Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Electrónica Ingeniería Mecánica MCE - 0511 2 2 6 2.- HISTORIA DEL PROGRAMA Lugar

Más detalles

Índice de Contenidos

Índice de Contenidos Índice de Contenidos CAPÍTULO 1 INTRODUCCION... 12 1.1 El problema (o la oportunidad)... 13 1.2 Objetivo General... 13 1.3 Objetivos específicos... 13 1.5 Alcances y limitaciones... 15 Capítulo 2 MARCO

Más detalles

Tema: Microprocesadores

Tema: Microprocesadores Universidad Nacional de Ingeniería Arquitectura de Maquinas I Unidad I: Introducción a los Microprocesadores y Microcontroladores. Tema: Microprocesadores Arq. de Computadora I Ing. Carlos Ortega H. 1

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez 4.3. Diseño de circuitos combinacionales

Más detalles

Asociación Argentina de Control Automático Primera Jornada AADECA sobre: CONTROL DE MOVIMIENTOS 8 de junio de 2010

Asociación Argentina de Control Automático Primera Jornada AADECA sobre: CONTROL DE MOVIMIENTOS 8 de junio de 2010 Unidad de Control Eje 1 Periferia PLC Eje 2 HMI Eje 3 Principios funcionales (Circuito de Potencia) Principios funcionales (Circuito de Control del PWM) Unidades Rectificadoras (Tiristores e IGBTs) Rectificación

Más detalles

TITULO: DISEÑO Y CONSTRUCCIÓN DE UN INVERSOR TRIFASICO TIPO PUENTE CON TRANSISTORES

TITULO: DISEÑO Y CONSTRUCCIÓN DE UN INVERSOR TRIFASICO TIPO PUENTE CON TRANSISTORES TITULO: DISEÑO Y CONSTRUCCIÓN DE UN INVERSOR TRIFASICO TIPO PUENTE CON TRANSISTORES Ricardo García Paredes 1, William Torres Escandón 2, Darío Zúñiga Burgos 3, Norman Chootong Ching 4 1 Ingeniero Electrónico

Más detalles

UNIVERSIDAD DISTRITAL FRANCISCO JOSÉ DE CALDAS FACULTAD DE INGENIERÍA SYLLABUS PROYECTO CURRICULAR DE INGENIERÍA ELÉCTRICA

UNIVERSIDAD DISTRITAL FRANCISCO JOSÉ DE CALDAS FACULTAD DE INGENIERÍA SYLLABUS PROYECTO CURRICULAR DE INGENIERÍA ELÉCTRICA Nombre del Docente ESPACIO ACADÉMICO (Asignatura): INSTRUMENTACION Y MEDIDAS UNIVERSIDAD DISTRITAL FRANCISCO JOSÉ DE CALDAS FACULTAD DE INGENIERÍA SYLLABUS PROYECTO CURRICULAR DE INGENIERÍA ELÉCTRICA Código:

Más detalles

a las pruebas de circuito abierto y cortocircuito a los generadores sincrónicos,

a las pruebas de circuito abierto y cortocircuito a los generadores sincrónicos, Electricidad avanzada ENTREGA 1 Pruebas de circuito abierto y cortocircuito en los generadores sincrónicos La máquina sincrónica es hoy por hoy, la más ampliamente utilizada para convertir grandes cantidades

Más detalles

Buceando en el HC908...

Buceando en el HC908... COMENTARIO TÉCNICO Buceando en el HC908... Por Ing. Daniel Di Lella Dedicated Field Application Engineer www.edudevices.com.ar dilella@arnet.com.ar Como implementar un control remoto por infrarrojo en

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

FORMATO DE SILABO I. DATOS GENERALES

FORMATO DE SILABO I. DATOS GENERALES FORMATO DE SILABO I. DATOS GENERALES 1. Nombre de la Asignatura: MAQUINAS ELÉCTRICAS 2. Carácter : OBLIGATORIO 3. Carrera Profesional : INGENIERIA MECANICA Y ELECTRICA 4. Código : IM0605 5. Semestre Académico

Más detalles

CRONOGRAMA DE MATERIA PROFESOR: TEL: E. MAIL: PRE-REQUISITOS COMPETENCIAS

CRONOGRAMA DE MATERIA PROFESOR: TEL: E. MAIL: PRE-REQUISITOS COMPETENCIAS 1 CENTRO UNIVERSITARIO DE CIENCIAS EXACTAS E INGENIERIAS DIVISIÓN DE INGENIERIAS DEPARTAMENTO DE INGENIERIA MECANICA ELECTRICA CRONOGRAMA DE MATERIA CARRERA: ING. COM. Y ELECT. HORAS SEM: T: 60 hrs. P:

Más detalles

Práctica 3. LABORATORIO

Práctica 3. LABORATORIO Práctica 3. LABORATORIO Electrónica de Potencia Convertidor DC/AC (inversor) de 220Hz controlado por ancho de pulso con modulación sinusoidal SPWM 1. Diagrama de Bloques En esta práctica, el alumnado debe

Más detalles

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales EL-611 Complemento de Diseño Lógico y Objetivos y Evaluación Segundo Curso de Sistemas Digitales Complementar Materia Enfoque Diseños de Mayor Envergadura 1 Control + Examen y 6 Ejercicios (aprox.) Tareas

Más detalles

CAPITULO 4. Inversores para control de velocidad de motores de

CAPITULO 4. Inversores para control de velocidad de motores de CAPITULO 4. Inversores para control de velocidad de motores de inducción mediante relación v/f. 4.1 Introducción. La frecuencia de salida de un inversor estático está determinada por la velocidad de conmutación

Más detalles

CONTROL DE VELOCIDAD V/HZ BIDIRECCIONAL DE UN MOTOR DE INDUCCIÓN MONOFÁSICO USANDO EL MC68HC908MR32. Pablo Andrés Lencinas

CONTROL DE VELOCIDAD V/HZ BIDIRECCIONAL DE UN MOTOR DE INDUCCIÓN MONOFÁSICO USANDO EL MC68HC908MR32. Pablo Andrés Lencinas 3 de Agosto al de Septiembre de 00 Buenos Aires, Argentina. CONTROL DE ELOCIDAD /HZ BIDIRECCIONAL DE UN MOTOR DE INDUCCIÓN MONOFÁSICO USANDO EL MC68HC908MR3 Pablo Andrés Lencinas Asesor: Ing. José Luis

Más detalles

Analizador de Espectro Digital de Frecuencia de Audio. Universidad Tecnológica Nacional Facultad Regional Mendoza

Analizador de Espectro Digital de Frecuencia de Audio. Universidad Tecnológica Nacional Facultad Regional Mendoza 9. MANUAL DE USUARIO 9.1. Requerimientos del sistema Los requerimientos mínimos son: IBM PC compatible con un Pentium II o AMD K6-2 Sistema Operativo Microsoft Windows de 32 bits, que incluye Windows 95/98,

Más detalles

LABORATORIO No. 3 MODELAMIENTO Y ANALISIS DINAMICO DE SISTEMAS ELECTRICOS

LABORATORIO No. 3 MODELAMIENTO Y ANALISIS DINAMICO DE SISTEMAS ELECTRICOS UNIVERSIDAD FRANCISCO DE PAULA SANTANDER FACULTAD DE INGENIERIA INGENIERÍA ELECTRÓNICA 1 SISTEMAS DINAMICOS 1160601 LABORATORIO No. 3 MODELAMIENTO Y ANALISIS DINAMICO DE SISTEMAS ELECTRICOS INSTRUCCIONES

Más detalles

PROGRAMA INSTRUCCIONAL ELECTRÓNICA INDUSTRIAL

PROGRAMA INSTRUCCIONAL ELECTRÓNICA INDUSTRIAL UNIVERSIDAD FERMIN TORO VICE-RECTORADO ACADEMICO FACULTAD DE INGENIERIA ESCUELA DE INGENIERIA ELECTRICA PROGRAMA AL ELECTRÓNICA INDUSTRIAL CÓDIGO ASIGNADO SEMESTRE U.C DENSIDAD HORARIA SEMI H.T H.P/H.L

Más detalles

Sistema de Monitoreo Remoto

Sistema de Monitoreo Remoto 1 Sistema de Monitoreo Remoto 2 Sistema de Monitoreo Remoto 3 Por qué monitorear? Evaluación de Activos Cumplir con la Regulación Eficiencia Bajar Costos Aumentar Confiabilidad 4 El servicio que ofrece

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

PRIMER LABORATORIO EL 7032

PRIMER LABORATORIO EL 7032 PRIMER LABORATORIO EL 7032 1.- OBJETIVOS.- 1.1.- Analizar las formas de onda y el comportamiento dinámico de un motor de corriente continua alimentado por un conversor Eurotherm Drives, 590+ Series DC

Más detalles

Aportaciones al control digital de controladores de corrección de factor de potencia

Aportaciones al control digital de controladores de corrección de factor de potencia Aportaciones al control digital de controladores de corrección de factor de potencia por Víctor Manuel López Martín Ingeniero Industrial, Universidad de Cantabria, 2009 Trabajo fin de máster presentado

Más detalles

ALEJANDRO OLIVA 4 3 A S I G N A T U R A S C O R R E L A T I V A S P R E C E D E N T E S

ALEJANDRO OLIVA 4 3 A S I G N A T U R A S C O R R E L A T I V A S P R E C E D E N T E S UNIVERSIDAD NACIONAL DEL SUR 1 /6 BAHÍA BLANCA ARGENTINA T E Ó R I C A S H O R A S D E C L A S E P R O F E S O R R E S P O N S A B L E P R Á C T I C A S Por semana Por Por semana Por ALEJANDRO OLIVA 4

Más detalles

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O Interfaz con Dispositivos I/O Interfaz con Dispositivos de Salida y Salida Unidad 4, Segunda Parte Port Mapped Memory mapped 1 2 Ejecución de la Instrucción OUT Ejecución de la instrucción OUT Dirección

Más detalles

Tema: Uso del analizador espectral.

Tema: Uso del analizador espectral. Sistemas de Comunicación I. Guía 1 1 I Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas de comunicación Tema: Uso del analizador espectral. Objetivos Conocer el funcionamiento de un Analizador

Más detalles

10. Controles de motores

10. Controles de motores 10. Controles de motores Anibal T. De Almeida Día 2 Temario Arranque Arrancadores suaves Variadores de velocidad Controles de Motor - Arranque Consumo de energía para un periodo de aceleración: (A) Motor

Más detalles

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2008 Memorias Clasificación según acceso: Aleatorio Volátiles No

Más detalles

Accionamientos eléctricos Tema VI

Accionamientos eléctricos Tema VI Dispositivos semiconductores de potencia. ELECTRÓNICA DE POTENCIA - Con el nombre de electrónica de potencia o electrónica industrial, se define aquella rama de la electrónica que se basa en la utilización

Más detalles

CAPITULO 2: ELEMENTOS Y EQUIPOS TEMA 2.4. CONVERTIDORES DE TENSIÓN

CAPITULO 2: ELEMENTOS Y EQUIPOS TEMA 2.4. CONVERTIDORES DE TENSIÓN CAPITULO 2: ELEMENTOS Y EQUIPOS TEMA 2.4. CONVERTIDORES DE TENSIÓN 2.4 CONVERTIDORES DE TENSIÓN. DESARROLLO DE LOS CONTENIDOS 1. INTRODUCCIÓN. 2. CONVERTIDOR CC/CC. 3. CONVERTIDOR CC/CA. 3.1. INVERSORES

Más detalles

Sistema de Control Página 1 de 6. Código:

Sistema de Control Página 1 de 6. Código: Sistema de Control Página 1 de 6 Programa de: Sistemas de Control UNIVERSIDAD NACIONAL DE CÓRDOBA Facultad de Ciencias Exactas, Físicas y Naturales República Argentina Carrera: Ingeniería Mecánica Escuela:

Más detalles

PROBLEMAS DE EXAMEN. 1.- La figura representa un convertidor alterna/alterna con control por fase bidireccional con carga resistiva:

PROBLEMAS DE EXAMEN. 1.- La figura representa un convertidor alterna/alterna con control por fase bidireccional con carga resistiva: POBLEMAS DE EXAMEN 1.- La figura representa un convertidor alterna/alterna con control por fase bidireccional con carga resistiva: 1 V in = 2 V s sen(wt) i in 2 a) Explicar brevemente el funcionamiento

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

ARRANQUE DE LÁMPARAS FLUORESCENTES

ARRANQUE DE LÁMPARAS FLUORESCENTES 4 ARRANQUE DE LÁMPARAS FLUORESCENTES 4. INTRODUCCIÓN En el uso de sistemas de iluminación fluorescente es necesario alimentar a la lámpara de descarga con el voltaje adecuado para evitar un mal funcionamiento

Más detalles

DESCRIPCION DEL SITEMA MASTER.

DESCRIPCION DEL SITEMA MASTER. DESCRIPCION DEL SITEMA MASTER. ESTRUCTURA. El sistema MASTER (Sistema Modular para Control Adaptativo en Tiempo Real) se ha implementado en base a un computador compatible PC-AT, dotado de una tarjeta

Más detalles

FICHA PÚBLICA DEL PROYECTO

FICHA PÚBLICA DEL PROYECTO NUMERO DE PROYECTO: 218824 EMPRESA BENEFICIADA: MICROCALLI DEL GOLFO S.A DE C.V TÍTULO DEL PROYECTO: LÍNEA DE PRODUCTOS DE SOFTWARE PARA DOMÓTICA OBJETIVO DEL PROYECTO: Incorporar el paradigma de LPS como

Más detalles

Resumen de CONVERSORES ANALÓGICO DIGITALES Y DIGITALES ANALÓGICOS

Resumen de CONVERSORES ANALÓGICO DIGITALES Y DIGITALES ANALÓGICOS Universidad De Alcalá Departamento de Electrónica Resumen de CONVERSORES ANALÓGICO DIGITALES Y DIGITALES ANALÓGICOS Tecnología de Computadores Almudena López José Luis Martín Sira Palazuelos Manuel Ureña

Más detalles

Memoria Cache. Departamento de Arquitectura de Computadores

Memoria Cache. Departamento de Arquitectura de Computadores Memoria Cache Departamento de Arquitectura de Computadores Índice Introducción. Conceptos básicos Características de los sistemas de memoria Jerarquías de memoria Memoria Principal Características físicas

Más detalles

Inversores De Frecuencia

Inversores De Frecuencia Inversores De Frecuencia QUÉ ES UN INVERSOR? Un inversor es un control para motores, que hace variar la velocidad a motores C.A. De inducción. Esta variación la logra variando la frecuencia de alimentación

Más detalles

GUÍA DE APRENDIZAJE N GTSMA /05/ IDENTIFICACIÓN DE LA GUÍA DE APRENDIZAJE

GUÍA DE APRENDIZAJE N GTSMA /05/ IDENTIFICACIÓN DE LA GUÍA DE APRENDIZAJE 1. IDENTIFICACIÓN DE LA GUÍA DE APRENDIZAJE Programa de Formación: Técnico en sistemas Código: 228172 Versión: 1 Nombre del Proyecto: Código:340995 Conformación de mesa de ayuda Fase del proyecto: Ejecución

Más detalles

Laboratorio de Instrumentación y Sistemas de adquisición de datos en la Universidad Politécnica de Valencia

Laboratorio de Instrumentación y Sistemas de adquisición de datos en la Universidad Politécnica de Valencia Laboratorio de Instrumentación y Sistemas de adquisición de datos en la Universidad Politécnica de Valencia "LabVIEW no es sólo un lenguaje de programación sino un entorno de programación donde existen

Más detalles