Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE



Documentos relacionados
Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

PRÁCTICA: LENGUAJE VHDL

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

4. SUMADORES EN BINARIO PURO (I)

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

3.1 Introducción a VHDL

4.3. Lenguaje VHDL Declaración de Packages

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

Laboratorio de Diseño de Sistemas Digitales

Tema 3 - Modelado con HDL a nivel RTL

Práctica I Modelado y simulación de una máquina expendedora de refrescos

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO:

Operadores y atributos en VHDL [1]

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits

ELEMENTOS Y TIPOS DE DATOS

V. Elementos de la Arquitectura

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

VHDL. Lenguaje de descripción hardware Introducción e historia

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

VI. Especificación del Comportamiento

Naturaleza binaria. Conversión decimal a binario

TEMA 5. ELECTRÓNICA DIGITAL

CODIFICADORES CON PRIORIDAD. Grupo 2

Curso Completo de Electrónica Digital

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

Proyecto de Diseño 2

Diseño de Hardware con VHDL

ELO211: Sistemas Digitales. Tomás Arredondo Vidal

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Código concurrente en VHDL [5]

Matemáticas Básicas para Computación. Sesión 7: Compuertas Lógicas

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

Electrónica Digital. Conceptos Digitales. Dr. Oscar Ruano

Diseño VHDL de módulos combinacionales básicos

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales.

VHDL Y FPGA LENGUAJE VHDL

SISTEMAS DIGITALES VHDL

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL.

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Introducción a la lógica binaria

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

OR (+) AND( ). AND AND

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas.

Tipos de Dispositivos Controladores

La Unidad Procesadora.

Prof. Dr.-Ing. F. Schubert VHDL

Introducción a FPGAs. Contenido

5.1. Introducción a los sistemas digitales

Compiladores y Lenguajes de Programación. Maria de Guadalupe Cota Ortiz

Tipos de datos en VHDL

Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL. Capítulo 3. Codificadores

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft Software de diseño XILINX ISE versión 10.1

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

T6. CIRCUITOS ARITMÉTICOS

Compuertas Lógicas. M. en C. Erika Vilches

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

Contenido. Capítulo 1. Introducción a lenguaje C 1

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones).

VHDL. Lenguaje de descripción hardware

Sistemas Electrónicos Digitales Curso de adaptación al Grado

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES.

Diseño de Sistemas Electrónicos Digitales Avanzados

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

1. Se establecen los conceptos fundamentales (símbolos o términos no definidos).

DE SISTEMAS: ANALÓGICOS:

LENGUAJE VHDL. Ing. Wilmer Naranjo 1

Entre los más conocidos editores con interfaz de desarrollo tenemos:

GAL22V entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10).

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech

Manual de referencia de la tarjeta BASYS 2

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INDICE Parte I. Conceptos 1. El estudio de los lenguajes de programación 2. Cuestiones de diseño de lenguajes

OPERADORES: Maquinaria para realizar las instrucciones. Capítulo Tercero Fundamentos de Computadores Ingeniería de Telecomunicación

Tutorial de ModelSim PE Student Edition

Laboratorio 1 Implementación de un sumador binario

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

Capítulo 1: Sistemas de representación numérica Introducción. Dpto. de ATC, Universidad de Sevilla - Página 1 de 8

Introducción a los Sistemas Digitales

VII. Múltiples Procesos en una Arquitectura. F. Santiago E.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

FACULTAD DE INGENIERÍA

Sistemas de numeración, operaciones y códigos.

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7

Práctica 6. Diseño Lógico Digital mediante VHDL

Modelo de aplicaciones CUDA

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

PLC CONTROLADOR LOGICO PROGRAMABLE

Fundamentos de la Programación

Electrónica Digital II. M. C. Felipe Santiago Espinosa

Transcripción:

LENGUAJES DE DESCRIPCIÓN DE HARDWARE

METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de descripción de hardware (HDL)

Ejemplo Representar un circuito digital que capaz de controlar un indicador de advertencia del cinturón de seguridad un automóvil Descripción verbal Expresión booleana luz _ advertencia conductor _ presente cint uron _ enganchado int eruuptor _ encendido

Ejemplo Diagrama Esquemático Diagrama de tiempos Tabla de verdad

Lenguajes de descripción de hardware - HDL Hardware Description Language Permite Describir circuitos digitales complejos mediante lenguaje basado en texto Diseñar e implementar circuitos digitales complejos Un HDL estandarizado por el IEEE es el VHDL VHSIC (Very High Speed Integrated Circuit ) Description Language.

Concurrente Vs Secuencial Lenguaje de descripción de hardware Lenguaje para programar un dispositivo Hardware Instrucciones ejecutadas en forma concurrente (todas al mismo tiempo) La velocidad en la ejecución del programa depende sólo de la rapidez del circuito digital y de los retardos de propagación de las señales de salidas que responden a las señales de entrada Un cjto de mecánicos haciendo en paralelo todas las tareas Lenguajes de computadoras Lenguaje para programar un dispositivo Software Instrucciones ejecutadas en forma secuencial La velocidad en la ejecución del programa depende de la velocidad de la computadora EJEMPLO: Parada en boxes de autos de carrera Un solo mecánico haciendo todas las tareas en forma secuencial. El tiempo en boxes depende de la rapidez de la persona CONCLUSION: Los lenguajes HDL se implementan en forma similar a los lenguajes de computadoras (BASIC, C, JAVA) pero son de comportamientos muy diferentes.

Formato del HDL Formato de archivos HDL Documentación Comentarios que no generan hardware Definición de bibliotecas Definición de tipos de datos Permite diseños jerárquicos Definición del componente. Definición de E/S Descripción lógica del componente Descripción funcional Documentación Definición de bibliotecas Definición de E/S Descripción Funcional

VHDL Librería Definición Componente: Entidad Descripción funcional: Arquitectura Elementos esenciales en VHDL Librerías: Modo -> IN, OUT, INOUT, BUFFER Tipo -> BIT, BIT_VECTOR, STD_LOGIC, STD_LOGIC_VECTOR, INTEGER, CHARACTER

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Esquema de la arquitectura VHDL Parte declarativa: Señales intermedias Componentes Tipo Cuerpo de la arquitectura: Operadores Declaraciones concurrentes Declaraciones secuenciales

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Operadores VHDL lógicos And S1 <= A AND B AND C; OR S1 <= A OR B OR C; Xor S1 <= A XOR B XOR C; NAND S1 <= (A nand B) nand C; NOR S1 <= (A NOR B) NOR C; not S1 <= NOT A; aritméticas + --> suma - --> resta * --> multiplicación / --> división ** --> potenciación abs --> valor absoluto relacionales = --> igual /= --> distinto < --> menor <= --> menor o igual > --> mayor >= --> mayor o igual

VHDL. Señales intermedias

Software de desarrollo: Software de referencia: MAX+PLUS II de Altera Descarga: https://www.altera.com/download/legacy/maxplus2/dnl-student.jsp Licencia: http://www.altera.com/download/licensing/lic-choose.html Permite: Describir un sistema digital mediante: HDL: VHDL, AHDL, Verilog Diagrama esquemático Formas de ondas Compilar Verificar Programar DISEÑO JERARQUICO

Representación de datos en HDL Designación de sistemas numéricos en HDL Arreglos de bits/vectores de bits

Bibliotecas (Librerías)en VHDL Libraries Elementos Fundamentales del VHDL Normalizadas Tipos de datos predefinidos Definidas por el usuario Elementos definidos por el diseñador Se usan para diseño jerárquico Sintaxis

Tipos de datos en VHDL.Biblioteca IEEE STD_LOGIC : Tipo predefinido en el estándar IEEE 1164. Este tipo representa una lógica multivaluada de 9 valores. Además del 0 lógico y el 1 lógico, posee alta impedancia Z, desconocido X ó sin inicializar U entre otros. Igual que se permite crear un vector de bits se puede crear un vector de std_logic, STD_LOGIC_VECTOR. Para poder utilizar el tipo std_logic hay que añadir la librería que lo soporta. use ieee.std_logic_1164.all Para poder utilizar las funciones aritmeticológicas definidas (suma, resta, multiplicación) use ieee.std_logic_arith.all Si los vectores están en representación binaria pura use ieee.std_logic_unsigned.all Los vectores están en CA2 use ieee.std_logic_signed.all Diseño Lógico I

Tipos de datos comunes en VHDL.Biblioteca IEEE std_logic_1164 de VHDL Tipo de datos Declaraciones de ejemplo Posibles valores Uso BIT y: OUT BIT; 0, 1 Y <= 0 STD_LOGIC Control: STD_LOGIC 0, 1, Z, X, - Control <= Z ; BIT_VECTOR datos_bcd: BIT_VECTOR (3 DOWNTO 0); 0101, 0000, 1001 Digito <= datos_bcd STD_LOGIC_VECTOR dbus: STD_LOGIC_VECTOR (3 DOWNTO 0); 0Z1X IF rd= 0 then dbus <= ZZZZ INTEGER SIGNAL m: INTEGER RANGE -32 TO 31-32, -2, -1, 0, 1,2,, 31 IF m>5 THEN

Diagrama básico de un proceso de diseño

Tablas de verdad mediante el uso de HDL Una tabla de verdad relaciona las salidas de un circuito con cada una de las posibles combinaciones de sus entradas Ejemplo: Diseñar un circuito lógico que tenga 3 entradas A,B y C cuya salida esté en alto cuando haya mayoría de 1 en sus entradas A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

Tablas de verdad mediante el uso de VHDL Sintaxis: Selected Signal Assignment Definición Concurrente EQUIVALENTE A UN CASE Ejemplo en VHDL: