Introducción al CAD-EDA. Evolución del diseño electrónico Modelado de sistemas Lenguajes de descripción de hardware Metodologías y flujos de diseño

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Introducción al CAD-EDA. Evolución del diseño electrónico Modelado de sistemas Lenguajes de descripción de hardware Metodologías y flujos de diseño"

Transcripción

1 Introducción al CAD-EDA Evolución del diseño electrónico Modelado de sistemas Lenguajes de descripción de hardware Metodologías y flujos de diseño

2 EVOLUCIÓN DEL DISEÑO ELECTRÓNICO. Años 70: Tecnologías más usadas: CI digitales: NMOS CI analógicos: bipolar CI componentes estándar diseñados e implementados en las fábricas. Diseño altamente manual, concentrado en niveles eléctricos (conexiones y caracterísicas a nivel de transistor) y topográficos (layouts) Herramienta CAD: SPICE. Memorias DRAM de 1 Kbit (1970). µp 4004 (PMOS) de 4 bits (1971). Finales de la década: memorias de 16 Kbits y procesadores de 16 bits (8086). Estos avances facilitan la aparición de nuevas plataformas Hw. Nacimiento de los miniordenadores; fin de los mainframes.

3 EVOLUCIÓN DEL DISEÑO ELECTRÓNICO. Años 80: Tecnologías más usadas: CI digitales: CMOS CI analógicos y mixtos: bipolar A finales de la década: BiCMOS, facilita el desarrollo de circuitos mixtos A/D Desfase entre tecnología y diseño. La escasez de herramientas y metodologías de diseño dificultan y encarecen la fabricación de CI complejos. CI siguen desarrollando componentes estándar de mayor complejidad. Aparecen los CI que pueden ser diseñados por los ingenieros de la aplicación.

4 EVOLUCIÓN DEL DISEÑO ELECTRÓNICO. Años 80: Cronológicamente: Full-custom. El diseñador acomete los niveles eléctricos y geométricos. Grandes volúmenes o/y proyectos con grandes restricciones (area, tamaño, consumo...) Matrices de puertas predifundidas (semi-custom/gate arrays). Estructura regular de dispositivos básicos (transistores) prefabricada, personalizable mediante un conexionado específico. Diseño a base de biblioteca de celdas. Celdas estándar precaracterizadas (semi-custom/estándar-cells). No existe estructura fija prefabricada. Se cuenta con bibliotecas de celdas y módulos precaracterizados y específicos para cada tecnología. Bastante libertad de diseño pero el desarrollo exige un proceso de fabricación completo. Lógica programable (FPGA, CPLD). Dispositivos totalmente fabricados y verificados, personalizables desde el exterior mediante técnicas de programación (RAM, fusibles...). Diseño basado en bibliotecas y mecanismos específicos de mapeado de funciones. La implementación tan solo requiere de una fase de programación que puede realizar el propio diseñador. ASIC (Application Specific Integrated Circuits)

5 EVOLUCIÓN DEL DISEÑO ELECTRÓNICO. Años 80: Herramientas CAD: Nivel físico: Diseño eléctrico y geométrico de celdas y bloques básicos mediante técnicas de diseño a medida. Procesos de ubicación y conexionado (place & route) de celdas y bloques para generar la topografía del circuito a partir de su esquema de componentes y conexionado. Procesos de verificación eléctrica y geométrica presentes en ambos contextos. Nivel de puertas (estructural: componentes y conexiones): captura de esquemas, simulación funcional y de faltas, análisis de tiempos, generación de estructuras y vectores de test, etc. La herramientas CAD forman entornos integrados que comparten una base de datos y un interfaz único. Se empiezan a desarrollar métodos y herramientas para abordar el diseño a nivel funcional. En 1987 nace el VHDL cuya implantación definitiva tendrá lugar en la década siguiente

6 Nivel funcional Diseño a nivel arquitectural estructural Diseño a nivel físico Fabricación test y producción Iteraciones de corrección, retroanotación y validación Requisitos, restricciones y especificaciones funcionales Captura de diseño (esquemático) Simulaciones (pre/post-diseño físico): funcional-lógica, temporal, fallos Ubicación y conexionado (layout) Verificación y análisis Fabricación Test de prototipos Producción Biblioteca de celdas Estímulos de simulaciones + Vectores de test Flujo genérico de diseño ascendente de circuitos semicustom ASIC y FPGA Diseño físico distinto en ASIC y FPGA, Los FPGA no necesitan test estructural

7 EVOLUCIÓN DEL DISEÑO ELECTRÓNICO. Años 90: Tecnologías utilizadas: CMOS 75% de mercado. Bipolares/ECL 15% BiCMOS crece hasta un 5% NMOS, TTL, AsGa 5% Nuevas tecnologías de encapsulado: módulos multichip (MCM multichip modules): Chips en forma de dado sobre diversos tipos de sustratos en los que previamente se habrán implementado las conexiones entre los distintos chips. Técnica que aumenta las prestaciones (consumo, velocidad) y reduce el tamaño de los sistemas electrónicos. Avances en tecnologías submicrónicas (procesos CMOS capaces de albergar millones de transistores en pocos mm 2 ). Posibilidad de incluir sistemas completos en un chip de silicio (SoC, systems on chip;sos systems on silicon). El cuello de botella está más en el diseño que en la tecnología. Los diseños complejos se basan en macro bloques funcionales (CPU, DSP, microcontrolador...) desarrollados a nivel Sw (ejem. Código VHDL sintetizable) u optimizados a nivel Hw (layout o topografías específicas). Aumento del diseño A/D (BiCMOS). Avances en diseños A/D/P. Los ASIC (custom y semicustom) pierden relevancia frente a las enormes prestaciones y complejidad de los dispositivos programables. Solo se utilizan en producciones elevadas o con requisitos muy restrictivos.

8 EVOLUCIÓN DEL DISEÑO ELECTRÓNICO. Años 90: Metodologías: Implantación progresiva de lenguajes de descripción de software (Verilog y VHDL) que junto con herramientas de simulación y síntesis promueven metodologías de diseño descendente (top-down). El esfuerzo del diseño se concentra en el nivel funcional arquitectural diseños independientes de la tecnología. Simulación mixta multinivel (funcional, RT y lógica). Síntesis: comportamental en fase de desarrollo. RT, lógica y física conocida y utilizada. Globalización del diseño electrónico ha permitido la evolución y especialización del CAD genérico en EDA que a su vez desarrolla la ingeniería concurrente: simultanear las diferentes fases del desarrollo de un diseño, de forma que los resultados de algunas de ellas puedan influir en pasos previos. Toda esta evolución ha sido posible gracias al enorme desarrollo de las plataformas Hw/Sw, que son elemento donde se ha cimentado la evolución del diseño electrónico.

9 MODELADO DE SISTEMAS El modelo es la representación de un sistema, en el que se representa la información con un grado de abstracción determinado: comportamiento, entrada/salida, estructura, etc. El modelado es útil en diversas fases del diseño de sistemas digitales: Se puede utilizar un modelo para especificar sin ambigüedad los requerimientos que ha de cumplir un circuito digital a diseñar. Para mostrar el funcionamiento de un diseño. Para verificar el correcto funcionamiento de un diseño mediante simulación. Utilización de procedimientos de síntesis automática de circuitos a través de modelos.

10 DOMINIOS Y NIVELES DE ABSTRACCIÓN EN EL MODELADO DE SISTEMAS DIAGRAMA DE GAJSKI-KUHN ESTRUCTURAL FUNCIONAL Procesador memoria Transferencia de registros Puertas lógicas transistores Algortimos Lenguaje de transferencia de registros Ecuaciones lógicas Ecuaciones diferenciales Trazado (Layout) Grupo de bloques GEOMÉTRICO Plano base Particiones físicas - + ABSTRACCIÓN

11 MODELADO CON HDL: NIVELES DE ABSTRACCIÓN Y ESTILOS DESCRIPTIVOS. Nivel de abstracción: grado de detalle de una descripción HDL respecto a la implementación física. PRECISIÓN TEMPORAL Relaciones causales sin planificación temporal Acciones agrupadas en distintos estados sincronizadas por un reloj Retrasos de componentes y conexiones Funcional o comportamental: Sistema: relación entre las entradas y salidas sin hacer referencia a la implementación. Descripciones al estilo de Sw de alto nivel, que reflejan la funcionalidad de los módulos (algorítmicas) Arquitectural o de transferencia de registros (RT). División en bloques funcionales, con planificación en el tiempo de las acciones a desarrollar (ciclos de reloj). Descripciones basadas en ecuaciones y expresiones que reflejan el flujo de datos y las dependencias entre datos y operaciones. Lógico o de puertas. Componentes del circuito expresados en términos de ecuaciones lógicas o puertas y elementos de una biblioteca. Descripciones estructurales: se especifican componentes, conexiones y puertos de E/S Abstractos y def. usuario Enteros Bits TIPOS DE DATOS

12 LENGUAJES DE DESCRIPCIÓN DE HARDWARE. Lenguajes de alto nivel con sintaxis similar a los lenguajes de alto nivel (C, ADA, PASCAL) con una semántica y sintaxis orientada al modelado y descripción de circuitos electrónicos. Los HDL permiten descripciones de circuitos con alto nivel de abstracción e independientes de la implementación tecnológica final, que puede ser detallada en distintas fases del diseño hasta llegar a la implementación física dependiente de la tecnología. En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC. Otro HDL, el UDI/L se utiliza exclusivamente en Japón. VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace como proyecto del Departamento de Defensa (DoD) de EEUU (año 82) para disponer de una herramienta estándar, independiente para la especificación (modelado y/o descripción) y documentación de los sistemas electrónicos. El IEEE lo adopta y estandariza. Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estándar industrial hasta que apareció el VHDL como estándar IEEE. En 1990 Cadence lo hace público y el IEEE lo estandariza en SystemC: es una extensión del C++, que utiliza unas bibliotecas de clase para describir y simular circuitos digitales. Se publicó en

13 VENTAJAS DE USAR HDL Interpretable por personas: Modelado intercambiable de diseños, documentación de proyectos, mantenimiento de diseños etc. por máquinas: Simulación, síntesis y verificación. Disponibilidad pública: definidos, documentados y mantenidos por instituciones como IEEE. Descripciones con múltiples niveles de abstracción. Utilizar un solo lenguaje a lo largo de todo el proceso de diseño (modelado, simulación, verificación, etc.) reduce el número de herramientas y formatos a utilizar. Al ser lenguajes estandarizados proporcionan portabilidad de los modelos para simulaciones. No tanto en síntesis pues no está totalmente estandarizada por el IEEE. Reutilización del código en diseños sobre distintas tecnologías (CMOS, BiCMOS; etc) o implementaciones(asic, FPGA, CPLD,..)

14 METODOLOGÍAS Y FLUJOS DE DISEÑO Diseño Botton-up: En la descripción del diseño se empieza por los componentes (pueden pertenecer a una librería) más pequeños del sistema. Se agrupan estos componentes forman o bloques de mayor complejidad y así sucesivamente. Ineficiente para diseños complejos Dependiente de la tecnología Especicicación funcional Proceso manual Descomposición descendente Arquitectura Proceso automático Composición de mayor complejidad Composición ascendente Composición de mayor complejidad Bloques y módulos básicos Biblioteca de celdas

15 METODOLOGÍAS Y FLUJOS DE DISEÑO Diseño Top-down: Concepción del sistema a nivel funcional. Incluyendo la descripción y simulación de especificaciones. Se suceden sucesivas etapas de descomposición en susbsistemas y bloques hasta llegar a una descripción RT que sea sintetizable. Descripciones independientes de la tecnología: aumenta la reutilización del diseño.

16 METODOLOGÍAS Y FLUJOS DE DISEÑO Diseño: especificaciones funcionales en HDL Refinamiento gradual en HDL HDL: simulación y análisis Diseño: modelo HDL a nivel arquitectural-rt Síntesis RT-lógica Diseño: lista de componentes e interconexiones (Netlist) Diseño físico: ubicación y conexionado Layout (en función de la implementación)

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Lección 1 Aspectos generales sobre diseño microelectrónico 1

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Lección 1 Aspectos generales sobre diseño microelectrónico 1 Introducción TEMA 1 TECNOLOGÍA DE LOS CI 1 ÍNDICE TEMA 1 ASPECTOS GENERALES SOBRE DISEÑO MICROELECTRONICO Evolución del diseño electrónico Proceso de fabricación y métricas de diseño Estrategias de diseño

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales EL-611 Complemento de Diseño Lógico y Objetivos y Evaluación Segundo Curso de Sistemas Digitales Complementar Materia Enfoque Diseños de Mayor Envergadura 1 Control + Examen y 6 Ejercicios (aprox.) Tareas

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

ABSTRACCIONES DE UN SISTEMA DIGITAL

ABSTRACCIONES DE UN SISTEMA DIGITAL ABSTRACCIONES DE UN SISTEMA DIGITAL T O P D O W N FUNCIONAL ARQUITECTURAL FÍSICO Algoritmos y funciones que indican la relación E/S Componentes funcionales interconectados que definen la arquitectura Materialización

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

XVI. utilizarlos de la forma más eficiente posible en el diseño de sistemas digitales.

XVI. utilizarlos de la forma más eficiente posible en el diseño de sistemas digitales. INTRODUCCIÓN En la actualidad el diseño de circuitos y sistemas digitales ha avanzado mucho respecto a sus orígenes. Ningún diseñador se plantea en la actualidad realizar un sistema digital mediante circuitos

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

M. C. Felipe Santiago Espinosa

M. C. Felipe Santiago Espinosa M. C. Felipe Santiago Espinosa Junio de 2008 Un sistema empotrado es un procesador, con sus elementos externos que desarrolla una función especifica de manera autónoma. Un sistema empotrado es un sistema

Más detalles

Distinguir correctamente las ventajas y desventajas de la lógica cableada y de la lógica programada con PLC.

Distinguir correctamente las ventajas y desventajas de la lógica cableada y de la lógica programada con PLC. Programas de Actividades Curriculares Plan 94A Carrera: Ingeniería Mecánica AUTOMATIZACIÓN INDUSTRIAL Área: Bloque: Eléctrica Tecnologías Básicas Nivel: 4º año Tipo: Electiva Modalidad: Anual Carga Horaria

Más detalles

BLOQUE 2 (PARTE 1) DEFINICIÓN Y CLASIFICACIÓN

BLOQUE 2 (PARTE 1) DEFINICIÓN Y CLASIFICACIÓN SISTEMAS ELECTRÓNICOS DIGITALES BLOQUE 2 CIRCUITOS DIGITALES CONFIGURABLES (PARTE 1) DEFINICIÓN Y CLASIFICACIÓN Enrique Mandado Pérez María José Moure Rodríguez DEFINICIÓN DE CIRCUITO DIGITAL CONFIGURABLE

Más detalles

Principios de Computadoras II

Principios de Computadoras II Departamento de Ingeniería Electrónica y Computadoras Ing. Ricardo Coppo Qué es una computadora? Una computadora es una máquina digital y sincrónica con capacidad de cálculo numérico y lógico controlada

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Diseño de Sistemas Digitales Utilizando FPGA

Diseño de Sistemas Digitales Utilizando FPGA Diseño de Sistemas Digitales Utilizando FPGA M. en C. Amadeo José Argüelles Cruz Profesor del CIC-IPN Ing. José Angel Ascencio Roman, Ing. José Felipe Villalobos Baigorría CIC-IPN E n la década de los

Más detalles

TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS

TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS LENGUAJE C, MICROCONTROLADORES, FPGA, RTOS, APLICACIONES I Departamento de Sistemas e Informática FACULTAD DE CIENCIAS EXACTAS, INGENIERÍA Y AGRIMENSURA

Más detalles

CAPITULO I INTRODUCCIÓN. Diseño Digital

CAPITULO I INTRODUCCIÓN. Diseño Digital CAPITULO I INTRODUCCIÓN Diseño Digital QUE ES DISEÑO DIGITAL? UN SISTEMA DIGITAL ES UN CONJUNTO DE DISPOSITIVOS DESTINADOS A LA GENERACIÓN, TRANSMISIÓN, PROCESAMIENTO O ALMACENAMIENTO DE SEÑALES DIGITALES.

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

FICHA PÚBLICA DEL PROYECTO

FICHA PÚBLICA DEL PROYECTO NUMERO DE PROYECTO: 000000000179028 EMPRESA BENEFICIADA: CONTINENTAL AUTOMOTIVE GUADALAJARA MÉXICO, S.A. DE C.V. TÍTULO DEL PROYECTO: MADURACIÓN DE CAPACIDADES HUMANAS Y TECNOLÓGICAS PARA LA EJECUCIÓN

Más detalles

Capítulo 1: Diseño Estructurado:

Capítulo 1: Diseño Estructurado: Capítulo 1: Diseño Estructurado: Metodología General de Diseño Top-Down Herramientas Tecnologías de implementación Test de Circuitos y Sistemas DEA SED 1-1 Metodologías General de Diseño Análisis del Sistema

Más detalles

SISTEMAS DE DETECCIÓN DE INTRUSOS EN LA PLATAFORMA NETFPGA USANDO RECONOCIMIENTO DE EXPRESIONES REGULARES.

SISTEMAS DE DETECCIÓN DE INTRUSOS EN LA PLATAFORMA NETFPGA USANDO RECONOCIMIENTO DE EXPRESIONES REGULARES. Título del trabajo de grado: SISTEMAS DE DETECCIÓN DE INTRUSOS EN LA PLATAFORMA NETFPGA USANDO RECONOCIMIENTO DE EXPRESIONES REGULARES. Estudiante de Maestría: Antonio Lobo Director del Proyecto: Prof.

Más detalles

Ingeniería del Software Ingeniería del Software de Gestión. Tema 3 Metodologías de Desarrollo de Software

Ingeniería del Software Ingeniería del Software de Gestión. Tema 3 Metodologías de Desarrollo de Software Ingeniería del Software Ingeniería del Software de Gestión Tema 3 Metodologías de Desarrollo de Software Félix Óscar García Rubio Crescencio Bravo Santos Índice 1. Definiciones 2. Objetivos 3. Conceptos

Más detalles

Tema V Generación de Código

Tema V Generación de Código Tema V Generación de Código Una vez que se ha realizado la partición HW/SW y conocemos las operaciones que se van a implementar por hardware y software, debemos abordar el proceso de estas implementaciones.

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

DISEÑO DEL SISTEMA DE INFORMACION (DSI)

DISEÑO DEL SISTEMA DE INFORMACION (DSI) DISEÑO DEL SISTEMA DE INFORMACION (DSI) El objetivo del proceso de Diseño del Sistema de Información (DSI) es la definición de la arquitectura del y del entrono tecnológico que le va a dar soporte, junto

Más detalles

Unidad I: Organización del Computador. Ing. Marglorie Colina

Unidad I: Organización del Computador. Ing. Marglorie Colina Unidad I: Organización del Computador Ing. Marglorie Colina Arquitectura del Computador Atributos de un sistema que son visibles a un programador (Conjunto de Instrucciones, Cantidad de bits para representar

Más detalles

Requerimientos de Software

Requerimientos de Software Requerimientos de Software Ingeniería de Requerimientos Se define como el proceso de establecer los servicios que el consumidor requiere de un sistema y las restricciones sobre las cuales de funcionar

Más detalles

Métodos para escribir algoritmos: Diagramas de Flujo y pseudocódigo

Métodos para escribir algoritmos: Diagramas de Flujo y pseudocódigo TEMA 2: CONCEPTOS BÁSICOS DE ALGORÍTMICA 1. Definición de Algoritmo 1.1. Propiedades de los Algoritmos 2. Qué es un Programa? 2.1. Cómo se construye un Programa 3. Definición y uso de herramientas para

Más detalles

TEMA 7: INGENIERIA DEL SOFTWARE.

TEMA 7: INGENIERIA DEL SOFTWARE. TEMA 7: INGENIERIA DEL SOFTWARE. 7.1. Definición de software 7.2. Características del software 7.3. Componentes del software 7.4. Ciclo de vida 7.4.1. Análisis de requisitos 7.4.2. Diseño 7.4.3. Implementación

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA La Microelectrónica se puede definir como el conjunto de ciencias y técnicas con las que se realizan y fabrican circuitos electrónicos, sobre una pastilla de un

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II TIPOS DE MEMORIAS MEMORIA DDR MEMORIA DDR2 MEMORIA DDR3 COMPARACIÓN TIEMPOS DE ACCESO TIPOS DE LATENCIAS RAS CAS ACTIVIDAD PRECARGA TIPOS DE CONFIGURACIONES SINGLE CHANNEL DUAL CHANNEL

Más detalles

Metodología para diseñar un Contador de Centenas utilizando Alliance CAD

Metodología para diseñar un Contador de Centenas utilizando Alliance CAD Prospectiva Vol. 7, No. 1, Enero - Junio de 2009, págs. 7-12 Metodología para diseñar un Contador de Centenas utilizando Alliance CAD A Methodology approached for design a hundred meter using Alliance

Más detalles

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 -Introducción - Introducción n a los Sistemas Lógicos y Digitales 2008 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 2008 Memorias Clasificación según acceso: Aleatorio Volátiles No

Más detalles

Objetivos. Plan. Cambios de grupos Prof. sustituto: Alicia Villanueva

Objetivos. Plan. Cambios de grupos Prof. sustituto: Alicia Villanueva Ingeniería de Requerimientos Prácticas Curso 2007/08 Objetivos Aprender el manejo de una herramienta avanzada para el desarrollo rápido de prototipos: Visual Prolog Plan Semana 1: Recomendaciones IEEE

Más detalles

CAPITULO 1 INTRODUCCION AL PROYECTO

CAPITULO 1 INTRODUCCION AL PROYECTO CAPITULO 1 INTRODUCCION AL PROYECTO 1 INTRODUCCION AL PROYECTO 1.1 Marco Teórico Los procesadores digitales de señales ganaron popularidad en los años sesentas con la introducción de la tecnología de estado

Más detalles

PREGUNTAS INFORMÁTICA MONITOR UPB EXAMEN 1

PREGUNTAS INFORMÁTICA MONITOR UPB EXAMEN 1 PREGUNTAS INFORMÁTICA MONITOR UPB EXAMEN 1 1. Cuál de los siguientes componentes no forma parte del esquema general de un ordenador? A Memoria Principal B Disco Duro C Unidad de Control D Unidad Aritmético

Más detalles

1. Computadores y programación

1. Computadores y programación 1. Computadores y programación Informática y computadora (RAE) Informática (Ciencia de la computación) Conjunto de conocimientos científicos y técnicos que hacen posible el tratamiento automático de la

Más detalles

INGENIERÍA DEL SOFTWARE I Práctica 5 Modelado de Diseño

INGENIERÍA DEL SOFTWARE I Práctica 5 Modelado de Diseño INGENIERÍA DEL SOFTWARE I Práctica 5 Modelado de Diseño Univ. Cantabria Fac. de Ciencias Patricia López Introducción al Diseño Modelamos la estructura software del sistema (incluida la arquitectura) para

Más detalles

Filtrado de imágenes usando hardware dedicado

Filtrado de imágenes usando hardware dedicado Filtrado de imágenes usando hardware dedicado Acosta Nelson & Tosini Marcelo INCA/INTIA Depto. Computación y Sistemas Fac. Cs. exactas UNCPBA (7000) Tandil Argentina Email: { nacosta, mtosini }@exa.unicen.edu.ar

Más detalles

Índice. Segundo cuatrimestre Fundamentos de los Computadores. Tema 1: conceptos generales sobre sistemas digitales. FC_2P Tema 1 ISI - ESCET - URJC 1

Índice. Segundo cuatrimestre Fundamentos de los Computadores. Tema 1: conceptos generales sobre sistemas digitales. FC_2P Tema 1 ISI - ESCET - URJC 1 egundo cuatrimestre Fundamentos de los Computadores Tema 1: conceptos generales sobre sistemas digitales José Ignacio Martínez Torre Grupo de Diseño ardware oftware DIET ECET URJC Índice! Bibliografía

Más detalles

Metodología de diseño de Sistemas de Control

Metodología de diseño de Sistemas de Control Metodología de diseño de Sistemas de Control Tema 2 1 Conocimiento del problema Explotación Definición de las especificaciones Test Metodología de diseño de Sistemas de Control...proceso iterativo Modelado

Más detalles

Creación y Mantenimiento de Componentes Software en Sistemas de Planificación de Recursos Empresariales y de Gestión de...

Creación y Mantenimiento de Componentes Software en Sistemas de Planificación de Recursos Empresariales y de Gestión de... Creación y Mantenimiento de Componentes Software en Sistemas de Planificación de Recursos Empresariales y de Gestión de... Certificados de profesionalidad Ficha Técnica Categoría Informática y Programación

Más detalles

ARQUITECTURA BÁSICA DEL ORDENADOR: Hardware y Software. IES Miguel de Cervantes de Sevilla

ARQUITECTURA BÁSICA DEL ORDENADOR: Hardware y Software. IES Miguel de Cervantes de Sevilla ARQUITECTURA BÁSICA DEL ORDENADOR: Hardware y Software. IES Miguel de Cervantes de Sevilla Índice de contenido 1.- Qué es un ordenador?...3 2.-Hardware básico de un ordenador:...3 3.-Software...4 3.1.-Software

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

El Proceso. Capítulo 2 Roger Pressman, 5 a Edición. El Proceso de Desarrollo de Software

El Proceso. Capítulo 2 Roger Pressman, 5 a Edición. El Proceso de Desarrollo de Software El Proceso Capítulo 2 Roger Pressman, 5 a Edición El Proceso de Desarrollo de Software Qué es? Marco de trabajo de tareas a realizar para desarrollar Software de alta calidad. Es sinónimo de Ingeniería

Más detalles

Unidad I Introducción a la programación de Sistemas. M.C. Juan Carlos Olivares Rojas

Unidad I Introducción a la programación de Sistemas. M.C. Juan Carlos Olivares Rojas Unidad I Introducción a la programación de Sistemas M.C. Juan Carlos Olivares Rojas Agenda 1.1 Qué es y que estudia la programación de sistemas? 1.2 Herramientas desarrolladas con la teoría de programación

Más detalles

CIRCUITO 1: CIRCUITO RC

CIRCUITO 1: CIRCUITO RC CIRCUITOS DIDACTICOS DE LA MATERIA DE DISPOSITIVOS Y CIRCUTOS ELECTRONICOS Y DE DISEÑO DE SISTEMAS DIGITALES. JUSTIFICACION. Los siguientes circuitos son considerados ejemplos didácticos y representativos

Más detalles

AUTOMATIZACIÓN INDUSTRIAL

AUTOMATIZACIÓN INDUSTRIAL Departamento de Ingenieria de Sistemas y Automática AUTOMATIZACIÓN INDUSTRIAL 1 AUTOMATIZACION INDUSTRIAL 2 AUTOMATIZACION INDUSTRIAL 3 AUTOMATAS PROGRAMABLES Surgen de la necesidad de controlar automáticamente

Más detalles

Grado en Ingeniería Informática. Plan de proyecto. Desarrollo de Sistemas de Información Corporativos. Departamento de Informática

Grado en Ingeniería Informática. Plan de proyecto. Desarrollo de Sistemas de Información Corporativos. Departamento de Informática Grado en Ingeniería Informática Plan de proyecto Desarrollo de Sistemas de Información Corporativos Departamento de Informática Propósito El plan del proyecto software abarca todas las herramientas de

Más detalles

Tema: Microprocesadores

Tema: Microprocesadores Universidad Nacional de Ingeniería Arquitectura de Maquinas I Unidad I: Introducción a los Microprocesadores y Microcontroladores. Tema: Microprocesadores Arq. de Computadora I Ing. Carlos Ortega H. 1

Más detalles

CIDE, SA. RIF: J NIT: MODELO FUNCIONAL

CIDE, SA. RIF: J NIT: MODELO FUNCIONAL MODELO FUNCIONAL SIGA C O NTE NlD O Introducción Aspectos Conceptuales Definición de modelo Requisitos de un Modelo Funcional Modelando la Funcionalidad del Sistema: Diagrama de Casos de Uso Definición

Más detalles

NECESIDAD DE VERIFICACIÓN Y PRUEBAS A PCB S

NECESIDAD DE VERIFICACIÓN Y PRUEBAS A PCB S NECESIDAD DE VERIFICACIÓN Y PRUEBAS A PCB S NECESIDAD DE APLICAR LAS TECNOLOGÍAS DE VERIFICACIÓN Y PRUE- BAS DE TARJETAS ELECTRÓNICAS (PCB) DE LOS PRODUCTOS ELEC- TRÓNICOS COLOMBIANOS INTRODUCCIÓN Los

Más detalles

Cap. 1. Introducción a la Arquitectura de Dispositivos Móviles

Cap. 1. Introducción a la Arquitectura de Dispositivos Móviles Presentación Cap. 1. Introducción a la Arquitectura de Dispositivos Móviles Escuela Superior de Cómputo IPN. Departamento de Posgrado Dr. Julio Cesar Sosa Savedra jcsosa@ipn.mx www.desid.escom.ipn.mx Contenido

Más detalles

Departamento Ingeniería en Sistemas de Información

Departamento Ingeniería en Sistemas de Información ASIGNATURA: TEORIA DE CONTROL MODALIDAD: Cuatrimestral DEPARTAMENTO: ING. EN SIST. DE INFORMACION HORAS SEM.: 8 horas AREA: MODELOS HORAS/AÑO: 128 horas BLOQUE TECNOLOGÍAS BÁSICAS HORAS RELOJ 96 NIVEL:

Más detalles

Ingeniería a de Software CC51A

Ingeniería a de Software CC51A Ingeniería a de Software CC51A Clase Auxiliar Auxiliar: Andrés s Neyem Oficina 418 de Doctorado aneyem@dcc.uchile.cl 19 de Marzo de 2007 Aspectos Generales Grupo CC51A Diseño Cliente Requisitos Usuario

Más detalles

PROGRAMA DE LA ASIGNATURA: SISTEMAS ELECTRÓNICOS DIGITALES

PROGRAMA DE LA ASIGNATURA: SISTEMAS ELECTRÓNICOS DIGITALES DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA HOJA 1 DE 8 PROGRAMA DE LA ASIGNATURA: SISTEMAS ELECTRÓNICOS DIGITALES CENTRO: TITULACIÓN: E.T.S. DE INGENIEROS DE TELECOMUNICACIÓN INGENIERO TÉCNICO DE TELECOMUNICACIÓN

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

Capítulo III: MARCO METODOLÓGICO

Capítulo III: MARCO METODOLÓGICO Capítulo III: MARCO METODOLÓGICO Tipo de Investigación El presente trabajo de investigación, tuvo como propósito el desarrollo de una aplicación experimental que permitió evaluar la operatividad y funcionalidad

Más detalles

Alternativas de implementación: Estilos

Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos µprocesador INTEL 386: 3 estilos de layout Datapath: ALU 2-D arrays: Memoria Standard

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Capacitación adquirida por el alumno al finalizar este modulo

Capacitación adquirida por el alumno al finalizar este modulo Curso de UML y UP Analiza, modela y diseña sistemas orientado a objetos con UML. Aprende cuándo y cómo utilizar todos los diagramas que forman parte de UML en forma práctica utilizando el Enterprise Architect

Más detalles

Guía del Curso Técnico en Mantenimiento de CRM: Recursos Empresariales y de Gestión de Relaciones con Clientes

Guía del Curso Técnico en Mantenimiento de CRM: Recursos Empresariales y de Gestión de Relaciones con Clientes Guía del Curso Técnico en Mantenimiento de CRM: Recursos Empresariales y de Gestión de Relaciones con Clientes Modalidad de realización del curso: Número de Horas: Titulación: Online 160 Horas Diploma

Más detalles

Tebis es proceso. Tebis versión

Tebis es proceso. Tebis versión Tebis es proceso. Tebis versión 4.0 de un 4.0 vistazo Tebis Iberia, S.L. Avda. Dr. Severo Ochoa, 36 28100 Alcobendas (Madrid) España Tel. +38/916624354 info-iberia@tebis.com www.tebis.com asm-muenchen.de

Más detalles

Algoritmos y solución de problemas. Fundamentos de Programación Otoño 2008 Mtro. Luis Eduardo Pérez Bernal

Algoritmos y solución de problemas. Fundamentos de Programación Otoño 2008 Mtro. Luis Eduardo Pérez Bernal Algoritmos y solución de problemas Fundamentos de Programación Otoño 2008 Mtro. Luis Eduardo Pérez Bernal Introducción Departamento de Electrónica, Sistemas e Informática En las ciencias de la computación

Más detalles

ASIGNATURA: SISTEMAS DE CONTROL CÓDIGO: Teórico #4 Cursada 2015

ASIGNATURA: SISTEMAS DE CONTROL CÓDIGO: Teórico #4 Cursada 2015 ASIGNATURA: SISTEMAS DE CONTROL CÓDIGO: 0336 Teórico #4 Cursada 2015 RESUMEN CLASE ANTERIOR (Teórico #3) Capítulo 1 - Introducción 1-1. Descripción y aplicaciones de sistemas de control automático. 1-2.

Más detalles

Resultado de Aprendizaje:

Resultado de Aprendizaje: 10. Matriz de Valoración o Rúbrica MATRIZ DE VALORACIÓN O RÚBRICA Siglema: PROO-02 Nombre del Módulo: Nombre del Alumno: Docente evaluador: Grupo: Fecha: Resultado de Aprendizaje: 1.1 Diseña modelos bajo

Más detalles

Diseño de Circuitos Integrados I. página >>1. Universitat Autònoma de Barcelona Curso académico 2009-10. Elena Valderrama. Ingeniería Informática

Diseño de Circuitos Integrados I. página >>1. Universitat Autònoma de Barcelona Curso académico 2009-10. Elena Valderrama. Ingeniería Informática página >>1 al Diseño de CIs Universitat Autònoma de Barcelona Curso académico 2009-10 Capítulo 5 : página >>2 Capítulo 5: El diseño de un ASIC incluye todas las tareas a realizar desde la especificación

Más detalles

Introducción. Universidad Nacional Tecnológica del Cono Sur de Lima JORGE AUGUSTO MARTEL TORRES 1

Introducción. Universidad Nacional Tecnológica del Cono Sur de Lima JORGE AUGUSTO MARTEL TORRES 1 Universidad Nacional Tecnológica del Cono Sur de Lima Especialidad Ingeniería Mecánica Ingeniería Electrónica Introducción PROGRAMACIÓN DE INGENIERÍA Semana 01-A: Introducción Arquitectura Ing. Jorge A.

Más detalles

Instituto Schneider Electric de Formación

Instituto Schneider Electric de Formación Unity Pro & M340 Capítulo 1: Introducción a Unity Pro con Modicon M340 Introducción a Unity Pro A - Presentación de Unity Pro p. 3 B - Unity Pro p. 8 C - Consejos generales para desarrollar una aplicación

Más detalles

INNOVACIÓN : CAMBIO Y CREACIÓN

INNOVACIÓN : CAMBIO Y CREACIÓN INNOVACIÓN : CAMBIO Y CREACIÓN CREATIVIDAD Disposición ante la vida, una reacción ante las alternativas percibidas del entorno que se interpretan a partir de juicios, declarando posibilidades y se realizan

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

UNIVERSIDAD RICARDO PALMA

UNIVERSIDAD RICARDO PALMA UNIVERSIDAD RICARDO PALMA Facultad de Ingeniería ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA SÍLAB0 PLAN DE ESTUDIOS 2006-II I. DATOS GENERALES Nombre : MICROPROCESADORES Código : CE 0606 Área

Más detalles

Diplomado Programación orientada a objetos con C++ y UML. Las empresas necesitan contar con sistemas de información modernos, ágiles y de calidad para alcanzar sus objetivos y ser cada vez más competitivos

Más detalles

Introducción 7. Introducción

Introducción 7. Introducción Introducción 7 Introducción En las últimas décadas hemos asistido a un rápido desarrollo de los sistemas electrónicos digitales, origen y consecuencia del crecimiento de las redes de comunicaciones, de

Más detalles

CURSO BÁSICO DE SOLIDWORKS

CURSO BÁSICO DE SOLIDWORKS CURSO BÁSICO DE SOLIDWORKS Cantidad de participantes: 8 personas máximo. Se recomienda curso personalizado. Requerimientos del aula de clase: laboratorio de computación con máquinas que cumplan los siguientes

Más detalles

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE.

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. Iñigo Aguirre; Jose Angel Ezquerra. Universidad del País Vasco. Euskal Herriko Unibertsitatea. jtpagpoi@sc.ehu.es. RESUMEN Este trabajo tiene por

Más detalles

Introducción a la arquitectura de computadores

Introducción a la arquitectura de computadores Introducción a la arquitectura de computadores Departamento de Arquitectura de Computadores Arquitectura de computadores Se refiere a los atributos visibles por el programador que trabaja en lenguaje máquina

Más detalles

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE 1 SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE tiempo de acceso RAM, algunas veces se usa cerrojo en el Juan Manuel Narváez Sánchez, Carlos Andrés Moreno Tenjica, Estudent Member IEEE

Más detalles

Introducción. Conceptos y principios. Introducción. Introducción. Elementos del modelo de análisis. Elementos del modelo de diseño.

Introducción. Conceptos y principios. Introducción. Introducción. Elementos del modelo de análisis. Elementos del modelo de diseño. Definición de diseño Proceso para la definición detallada de un sistema con el fin de su realización física. Ingeniería del Software 1 Ingeniería del Software 2 Modelo de diseño vs. Paradigma de IS 3 actividades

Más detalles

ENTORNO DE SIMULACIÓN Y CONTROL DE UN ROBOT VELOCISTA

ENTORNO DE SIMULACIÓN Y CONTROL DE UN ROBOT VELOCISTA ENTORNO DE SIMULACIÓN Y CONTROL DE UN ROBOT VELOCISTA PROYECTO FIN DE CARRERA Departamento de Electrónica. Universidad de Alcalá. Ingeniería Técnica de Telecomunicación. Especialidad en Sistemas Electrónicos

Más detalles

Tema 1: Introducción a Estructura de Computadores. Conceptos básicos y visión histórica

Tema 1: Introducción a Estructura de Computadores. Conceptos básicos y visión histórica Tema 1: Introducción a Estructura de Computadores Conceptos básicos y visión histórica Programa de Teoría 1. Introducción. 2. Lenguaje Máquina. 3. Lenguaje Ensamblador. 4. Ruta de Datos y Unidad de Control.

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

ENeldiseño de circuitos integrados de aplicación específica

ENeldiseño de circuitos integrados de aplicación específica IV CONGRESO DE MICROELECTRÓNICA APLICADA, UTN FACULTAD BAHÍA BLANCA, SEPTIEMBRE 2013 1 Diseño de una Librería de Compuertas Estándares en Tecnología CMOS Oroz De Gaetano Ariel, Alvarez Pablo Gabriel, Di

Más detalles

FICHA PÚBLICA DEL PROYECTO

FICHA PÚBLICA DEL PROYECTO NUMERO DE PROYECTO: 218824 EMPRESA BENEFICIADA: MICROCALLI DEL GOLFO S.A DE C.V TÍTULO DEL PROYECTO: LÍNEA DE PRODUCTOS DE SOFTWARE PARA DOMÓTICA OBJETIVO DEL PROYECTO: Incorporar el paradigma de LPS como

Más detalles

Desarrollo y Construcción de Prototipos Electrónicos

Desarrollo y Construcción de Prototipos Electrónicos Desarrollo y Construcción de Prototipos Electrónicos U.D. 1.1.- Diseño electrónico Proceso de diseño Herramientas de diseño: CAD (Computer Aided Design) = Diseño asistido por ordenador CAM (Computer Aided

Más detalles

Diseño y desarrollo de un módulo de conexión a CANopen de un sensor comercial fuerza/par

Diseño y desarrollo de un módulo de conexión a CANopen de un sensor comercial fuerza/par Diseño y desarrollo de un módulo de conexión a CANopen de un sensor comercial fuerza/par Autor: Alberto López Esteban Tutor: Alberto Jardón Huete Director: Juan Carlos González Víctores Universidad Carlos

Más detalles

1.1. Introducción. Definiciones

1.1. Introducción. Definiciones Tema I Introducción En este tema vamos a tratar de introducir al alumno en los denominados sistemas empotrados. En este tema introduciremos una posible definición de estos sistemas, así como una posible

Más detalles

PR1: Programación I 6 Fb Sistemas Lógicos 6 Obligatoria IC: Introducción a los computadores 6 Fb Administración de

PR1: Programación I 6 Fb Sistemas Lógicos 6 Obligatoria IC: Introducción a los computadores 6 Fb Administración de CUADRO DE ADAPTACIÓN INGENIERÍA INFORMÁTICA - Campus Río Ebro Código Asignaturas aprobadas Créditos Carácter Asignaturas/Materias reconocida Créditos Carácter 12007 Cálculo 7,5 MAT1; Matemáticas I 12009

Más detalles

Tema 2 Conceptos básicos de programación. Fundamentos de Informática

Tema 2 Conceptos básicos de programación. Fundamentos de Informática Tema 2 Conceptos básicos de programación Fundamentos de Informática Índice Metodología de la programación Programación estructurada 2 Pasos a seguir para el desarrollo de un programa (fases): Análisis

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

CARRERA DE INGENIERÍA EN SISTEMAS COMPUTACIONALES SYLLABUS DE INGENERIA DE SOFTWARE I

CARRERA DE INGENIERÍA EN SISTEMAS COMPUTACIONALES SYLLABUS DE INGENERIA DE SOFTWARE I Facultad de Ingeniería en Ciencias Aplicadas pag. 1 CARRERA DE INGENIERÍA EN SISTEMAS COMPUTACIONALES SYLLABUS DE INGENERIA DE SOFTWARE I 1. Misión: (de la carrera) La Carrera de Ingeniería en Sistemas

Más detalles