TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA

Tamaño: px
Comenzar la demostración a partir de la página:

Download "TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA"

Transcripción

1 TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA

2 La Microelectrónica se puede definir como el conjunto de ciencias y técnicas con las que se realizan y fabrican circuitos electrónicos, sobre una pastilla de un semiconductor, lo que formará un circuito integrado (IC) Microelectrónica Analógica Microelectrónica Digital INFLUENCIA DEL ENTORNO

3 Niveles jerárquicos PRIMERA FASE DE DISEÑO Procesadores, Memorias y buses Registros, ALUs Puertas flip-flops Algorítmico Transferencia de registro Lógico SEGUNDA FASE DE DISEÑO Transistores Layout Chip ELÉCTRICO GEOMÉTRICO FÍSICO

4 G U/D L Procesador Controlador CNT + G REG D Q D Q G REG D Q Algorítmico RTL Lógico Eléctrico Geométrico Físico

5 Un poco de historia sobre procesado digital 1834 BABBEGE MÁQUINA ANALÍTICA (MECÁNICA) RELÉS (AUN UTILIZADOS EN SISTEMAS FERROVIARIOS) ENIAC Y UNIVAC I (TUBOS DE VACÍO) TRANSISTORES BIPOLARES 1947 BELL TRANSISTORES MOSFET > 1970 LILIENFELD Y HEIL MICROPROCESADOR NANOELECTRÓNICA ( < 0.1 µm) INTEL MECÁNICA ELÉCTRICA MICROELECTRÓNICA

6

7 CIRCUITO MONOLÍTICO p p n n n p p n n+ n+ n p p n n+ n+ p+ p+ n p p

8 CIRCUITO MONOLÍTICO m1 m1 m1 n+ n+ p+ p+ n p m1 n+ n+ m1 m1 p+ p+ capa de metal 2 capa de metal 1 n p

9 * NAME CMOS 0.12µm - 6 Metal * lambda = 0.06 (Lambda is set to half the gate size) * * Design rules associated to each layer * * Well * r101 = 10 (well width) r102 = 11 (well spacing) * * Diffusion * r201 = 4 (diffusion width) Reglas de r202 = 4 (diffusion spacing) r203 = 6 (border of nwell on diffp) r204 = 6 (nwell to next diffn) r205 = 0 (diffn to diffp) * * Poly * r301 = 2 ( width) r302 = 2 (gate length) r303 = 4 (high voltage gate length) r304 = 3 ( spacing) r305 = 1 (spacing and unrelated diff) r306 = 4 (width of drain and source diff) r307 = 3 (extra gate ) * r102 r306 r304 r305 r302 r101 r301 r203 r307 r205 nwell pdiff r201 r202 ndiff

10 Ejemplo: Celda de memoria RAM ESCRITURA ESCRITURA DATA N2 W/L = 0.48/0.12 P1 P3 W/L = 0.48/0.12 N4 DATAB W/L = 0.24/0.12 W/L = 0.24/0.12 W/L = 0.24/0.12 W/L = 0.24/0.12 N1 N3 SALIDA SALIDAB

11 Ejemplo: Celda de memoria RAM N2 P1 P3 N4 N2 N1 N3 N4 N1 N3

12 Ejemplo: Celda de memoria RAM P1 P3 N2 P1 P3 N4 N1 N3

13 Ejemplo: Celda de memoria RAM N2 P1 P3 N4 N1 N3

14 Ejemplo: Celda de memoria RAM N2 P1 P3 N4 N1 N3

15 Ejemplo: Celda de memoria RAM VDD SALIDA SALIDAB ESCRITURA N2 P1 P3 N4 DATA DATAB N1 N3 VSS

16 El flujo de top-down parte de una descripción global del sistema (sin precisar en las diferentes partes ni especificaciones cuantitativas), para, a partir de dicha descripción, ir refinando cada vez con más detalle las diferentes partes del sistema. El flujo de bottom-up parte de unas especificaciones muy detalladas del sistema para implementar las diferentes partes del sistema, las cuales serán conectadas entre sí con posterioridad.

17 Flujo de top-down Síntesis de sistemas DOMINIO ESTRUCTURAL Síntesis de RT Procesadores, memorias, buses Síntesis lógica Registros, ALUs Síntesis de circuitos DOMINIO DE COMPORTAMIENTO Algoritmos Transferencias de registros Puertas, flip-flops Ecuaciones lógicas Transistores Ecuaciones eléctricas G. celdas G. módulos Capas de Si, metal,... FLOORPLANNING PARTICIONADO Celdas básicas Macroceldas Particiones básicas DOMINIO FÍSICO

18 Especificación informal Descripción de comportamiento Librería de celdas Descripción HDL Síntesis lógica Descripción de estructura Planificación del espacio (Particionado) Colocación Descripción física Interconexión (Rutado) Implementaciones de circuitos integrados circuitos a medida circuitos semi-medida Prestaciones basados en celdas basados en matrices Tiempo de

19 DISPOSITIVOS CONFIGURABLES CLB IOB FPGA (Field Programmable Gate Array) Conexiones PIA IOC CPLD (Complex Programmable Logic Device) Macroceldas Bloques prefabricados SA O SASIC (Structured Application specific integration circuit) Mar de módulos

20 PARÁMETROS DE CALIDAD COSTE POR CIRCUITO FUNCIONALIDAD Y ROBUSTEZ FPGAs SASICs ASICs cantidad coste por circuito = coste variable + coste fijo / cantidad V oh V ol NM H V ih V il NM L Puerta i Puerta i+1 Inmunidad al ruido Propiedad regenerativa VELOCIDAD CONSUMO DE POTENCIA retraso total = retraso de propagación de lógica + retraso de propagación de registros + restriciones temporales PDP

Tema I. Introducción a la Microelectrónica

Tema I. Introducción a la Microelectrónica Tema I. Introducción a la Microelectrónica Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Introducción Los circuitos electrónicos tienen una gran influencia en la vida

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales Niveles de Abstracción en la Descripción de Sistemas Digitales 1 Metodologías de Diseño y Herramientas de CAD

Más detalles

INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS

INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS INTRODUCCIÓN A LOS CIRCUITOS INTEGRADOS Luis Entrena Arrontes Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso 1 Índice 1.1 Los circuitos integrados. Ventajas e inconvenientes

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Lección 1 Aspectos generales sobre diseño microelectrónico 1

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Lección 1 Aspectos generales sobre diseño microelectrónico 1 Introducción TEMA 1 TECNOLOGÍA DE LOS CI 1 ÍNDICE TEMA 1 ASPECTOS GENERALES SOBRE DISEÑO MICROELECTRONICO Evolución del diseño electrónico Proceso de fabricación y métricas de diseño Estrategias de diseño

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación

Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación ESTILOS DE IMPLEMENTACIÓN & METODOLOGIAS DE DISEÑO SEMICUSTOM. Diseño basado en Arrays Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación CONTRAPARTIDA

Más detalles

1.1. Tecnologías de diseño de circuitos integrados

1.1. Tecnologías de diseño de circuitos integrados 1.1. Tecnologías de diseño de circuitos integrados Durante la década de los 80, varias compañías intentaron resolver el viejo compromiso de complejidad versus estandarización. Por un lado se tenía la opción

Más detalles

Índice. Segundo cuatrimestre Fundamentos de los Computadores. Tema 1: conceptos generales sobre sistemas digitales. FC_2P Tema 1 ISI - ESCET - URJC 1

Índice. Segundo cuatrimestre Fundamentos de los Computadores. Tema 1: conceptos generales sobre sistemas digitales. FC_2P Tema 1 ISI - ESCET - URJC 1 egundo cuatrimestre Fundamentos de los Computadores Tema 1: conceptos generales sobre sistemas digitales José Ignacio Martínez Torre Grupo de Diseño ardware oftware DIET ECET URJC Índice! Bibliografía

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

Sistemas de Computadoras Índice

Sistemas de Computadoras Índice Sistemas de Computadoras Índice Concepto de Computadora Estructura de la Computadora Funcionamiento de la Computadora Historia de las Computadoras Montando una Computadora Computadora Un sistema de cómputo

Más detalles

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción SISTEMAS ELECTRÓNICOS DIGITALES OQUE 2 CIRCUITOS DIGITALES CONFIGURAES (PARTE 3: Tema 1) CONJUNTO CONFIGURAE DE PUERTAS Clasificación y descripción Enrique Mandado Pérez María José Moure Rodríguez Circuito

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Unidad 2. Circuitos electrónicos y familias lógicas

Unidad 2. Circuitos electrónicos y familias lógicas Unidad 2. Circuitos electrónicos y familias lógicas Circuitos Electrónicos Digitales E.T.S.. nformática Universidad de Sevilla Sept. 25 Jorge Juan 225 You are free to copy, distribute

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

METODOLOGIA DE DISEÑO DE CIRCUITOS INTEGRADOS DIGITALES

METODOLOGIA DE DISEÑO DE CIRCUITOS INTEGRADOS DIGITALES METODOLOGIA DE DISEÑO DE CIRCUITOS INTEGRADOS DIGITALES MANUEL JESÚS BELLIDO DÍAZ ANGEL BARRIGA BARROS GUIÓN DEL TEMA INTRODUCCIÓN METODOLOGÍA DE DISEÑO TÉCNICAS DE IMPLEMENTACIÓN DE CID COMPARACIÓN ENTRE

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

Tema 1: Microelectrónica. Técnicas de implementación de CID

Tema 1: Microelectrónica. Técnicas de implementación de CID TÉCNICAS DE IMPLEMENTACIÓN DE CID FULL-CUSTOM SEMI-CUSTOM CONSTRUCCIÓN DEL ESQUEMÁTICO A NIVEL DE TRANSISTORES CONSTRUCCIÓN DEL LAYOUT CELDAS ESTÁNDARES MATRIZ DE PUERTAS DISPOSITIVOS PROGRAMABLES: FPGA

Más detalles

BIBLIOGRAFÍA 2.1 INTRODUCCIÓN 2.1 INTRODUCCIÓN (2) Tema 3: EL TRANSISTOR FET

BIBLIOGRAFÍA 2.1 INTRODUCCIÓN 2.1 INTRODUCCIÓN (2) Tema 3: EL TRANSISTOR FET BIBLIOGRAFÍA Tema 3: EL TRANSISTOR FET.1 Introducción. El Mosfet de acumulación Funcionamiento y curvas características Polarización.3 El Mosfet de deplexión Funcionamiento y curvas características.4 El

Más detalles

MODULO Nº12 TRANSISTORES MOSFET

MODULO Nº12 TRANSISTORES MOSFET MODULO Nº12 TRANSISTORES MOSFET UNIDAD: CONVERTIDORES CC - CC TEMAS: Transistores MOSFET. Parámetros del Transistor MOSFET. Conmutación de Transistores MOSFET. OBJETIVOS: Comprender el funcionamiento del

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

Otras Familias Lógicas.

Otras Familias Lógicas. Electrónica Digital II Otras Familias Lógicas. Elaborado Por: Luis Alfredo Cruz Chávez. Prof.: Carlos Alberto Ortega Grupo 3T2 - EO Familias lógicas. Una familia lógica de dispositivos circuitos integrados

Más detalles

CAPITULO V. Cuando hablamos de los lenguajes de programación nos referimos a diferentes formas en las que se puede escribir el programa del usuario.

CAPITULO V. Cuando hablamos de los lenguajes de programación nos referimos a diferentes formas en las que se puede escribir el programa del usuario. CAPITULO V Programación del PLC Introducción Cuando hablamos de los lenguajes de programación nos referimos a diferentes formas en las que se puede escribir el programa del usuario. Los software actuales

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

Alternativas de implementación: Estilos

Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos µprocesador INTEL 386: 3 estilos de layout Datapath: ALU 2-D arrays: Memoria Standard

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación

Más detalles

El layout contienen una descripción geométrica (tamaño y orientación) de todos los componentes y sus interconexiones.

El layout contienen una descripción geométrica (tamaño y orientación) de todos los componentes y sus interconexiones. TECNOLOGÍA DE COMPUTADORES Tema 5 Representación y diseño de circuitos integrados Agustín Álvarez Marquina Diseño de circuitos integrados (I) El diseño de un circuito integrado termina con la realización

Más detalles

Tutorial 2: Layout de circuitos analógicos

Tutorial 2: Layout de circuitos analógicos Instituto Tecnológico de Costa Rica I Semestre 2007 Escuela de Ingeniería Electrónica Preparado por: Dr.-Ing. Paola Vega Castillo 1. Introducción Tutorial 2: Layout de circuitos analógicos El layout puede

Más detalles

Diseñar, analizar, adaptar, operar y construir sistemas analógicos y digitales.

Diseñar, analizar, adaptar, operar y construir sistemas analógicos y digitales. PERFIL PROFESIONAL Diseñar, analizar, adaptar, operar y construir sistemas analógicos y digitales. Crear, innovar, adaptar y transferir tecnología en el ámbito de ingeniería electrónica mediante la aplicación

Más detalles

Familias Lógicas. José Antonio Morfín Rojas Universidad Iberoamericana, Ciudad de México Departamento de Ingeniería Ingeniería Electrónica

Familias Lógicas. José Antonio Morfín Rojas Universidad Iberoamericana, Ciudad de México Departamento de Ingeniería Ingeniería Electrónica Familias Lógicas José Antonio Morfín Rojas Universidad Iberoamericana, Ciudad de México Departamento de Ingeniería Ingeniería Electrónica Los circuitos integrados digitales son un conjunto de resistencias,

Más detalles

Síntesis arquitectónica y de alto nivel

Síntesis arquitectónica y de alto nivel Síntesis arquitectónica y de alto nivel Módulo 1. Concepto y fases de la Síntesis de Alto Nivel 1 Diseño de circuitos: la complejidad Tratamiento de problemas de complejidad creciente Rápido desarrollo

Más detalles

Grado en Ingeniería en Tecnologías Industriales

Grado en Ingeniería en Tecnologías Industriales Grado en Ingeniería en Tecnologías Industriales Primer Curso Primer semestre ESCUELA SUPERIOR DE INGENIERÍA Chile, 1 11002-CÁDIZ Teléfono: 95 015100 Fax: 95 015101 Más información: www.uca.es/ingenieria

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Simulación CPUs con FPGA o

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

SÍNTESIS DE SISTEMAS DE CONTROL DIFUSOS MEDIANTE HERRAMIENTAS DE DISEÑO DSP SOBRE FPGAS 1

SÍNTESIS DE SISTEMAS DE CONTROL DIFUSOS MEDIANTE HERRAMIENTAS DE DISEÑO DSP SOBRE FPGAS 1 SÍNTESIS DE SISTEMAS DE CONTROL DIFUSOS MEDIANTE HERRAMIENTAS DE DISEÑO DSP SOBRE FPGAS 1 S. Sánchez-Solano 1, M. Brox 2, A. Cabrera 3 1 Instituto de Microelectrónica de Sevilla (CNM-CSIC). Sevilla, España.

Más detalles

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i.

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. Filtros Digitales Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. En electrónica, ciencias computacionales y matemáticas, un filtro

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI. Ingeniería Electrónica

DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI. Ingeniería Electrónica DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI Ingeniería Electrónica Francisco Pasadas Cantos Granada 01 Directores: Antonio García

Más detalles

Reprogramación de módulos de control

Reprogramación de módulos de control Reprogramación de módulos de control Componentes de un computador. 1)Bloque de Entrada: Se denomina bloque de entrada a todos los circuitos que se encuentran como receptores de las diferentes señales que

Más detalles

TECNÓLOGO EN DESARROLLO DE SOFTWARE

TECNÓLOGO EN DESARROLLO DE SOFTWARE PERFIL DE EGRESO Diseña, codifica, desarrolla e implementa software a la medida, web y móvil, de manera segura bajo los estándares internacionales; Diseña, gestiona, administra y da mantenimiento a infraestructuras

Más detalles

TEMA 1. Introducción

TEMA 1. Introducción Fundamentos de los Computadores. Introducción. T1-1 TEMA 1. Introducción INDICE: SISTEMAS SISTEMAS CONTINUOS Y DISCRETOS EN EL TIEMPO SEÑALES SISTEMAS DIGITALES DESCRIPCIÓN DE LOS SISTEMAS DIGITALES Fundamentos

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE TEMA VII: ISEÑO SECUENCIAL PROGRAMABLE e igual forma que podíamos disponer de dispositivos combinacionales programables para poder implementar funciones combinacionales en un solo integrado, en el dominio

Más detalles

TEMA 4. Unidades Funcionales del Computador

TEMA 4. Unidades Funcionales del Computador TEMA 4 Unidades Funcionales del Computador Álvarez, S., Bravo, S., Departamento de Informática y automática Universidad de Salamanca Introducción El elemento físico, electrónico o hardware de un sistema

Más detalles

TEMA 1. INTRODUCCIÓN. 1. Diferentes niveles en la arquitectura de un computador

TEMA 1. INTRODUCCIÓN. 1. Diferentes niveles en la arquitectura de un computador TEMA 1. INTRODUCCIÓN 1. Diferentes niveles en la arquitectura de un computador.» Máquinas virtuales 2. Máquinas multinivel actuales. 3. Evolución histórica de las máquinas multinivel. 1 1. Diferentes niveles

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

ÍNDICE 1. EL SISTEMA DE NUMERACIÓN BINARIO, BASE DE LA ELECTRÓNICA DIGITAL............................. 1 Introducción.......................................... 1 Sistemas de numeración decimal y binario..................

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

Introducción 7. Introducción

Introducción 7. Introducción Introducción 7 Introducción En las últimas décadas hemos asistido a un rápido desarrollo de los sistemas electrónicos digitales, origen y consecuencia del crecimiento de las redes de comunicaciones, de

Más detalles

[9] IMPLEMENTACIÓN DE FILTROS DIGITALES EN FPGA

[9] IMPLEMENTACIÓN DE FILTROS DIGITALES EN FPGA [9] IMPLEMENTACIÓN DE FILTROS DIGITALES EN FPGA Emiliano F. Alba Blanco Jaime Ruiz Instituto Superior Politécnico José A. Echeverría (ISPJAE) emiliano@electrica.cujae.edu.cu RESUMEN En este trabajo se

Más detalles

Dr.-Ing. Paola Vega Castillo

Dr.-Ing. Paola Vega Castillo EL-3310 DISEÑO O DE SISTEMAS DIGITALES Dr.-Ing. Paola Vega Castillo Información n General Curso: Diseño de Sistemas Digitales Código: EL-3310 Tipo de curso: Teórico Créditos/Horas por semana: 4/4 Requisito:

Más detalles

Integrantes: Luis Valero Antoni Montiel Kelwin Contreras Gabriel Jiménez Jefferson Saavedra

Integrantes: Luis Valero Antoni Montiel Kelwin Contreras Gabriel Jiménez Jefferson Saavedra Integrantes: Luis Valero Antoni Montiel Kelwin Contreras Gabriel Jiménez Jefferson Saavedra Lógica de resistencia transistor La lógica de resistencia-transistor RTL es una clase de circuitos digitales

Más detalles

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950).

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Código binario en Sistemas Digitales Historia Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Circuitos integrados

Más detalles

Nacimiento de la Microelectrónica ENIAC. Tecnología Planar 1958. Circuito Integrado 1946-1954. W. Shockley J. Bardeen W. Brattain

Nacimiento de la Microelectrónica ENIAC. Tecnología Planar 1958. Circuito Integrado 1946-1954. W. Shockley J. Bardeen W. Brattain Nacimiento de la Microelectrónica ENIAC 1946-1954 1947 ELECTRONIC NUMERICAL INTEGRATOR AND COMPUTER es considerada la fecha de nacimiento de la Microelectrónica W. Shockley J. Bardeen W. Brattain Descubrieron

Más detalles

costes asociados Elena Valderrama Universitat Autònoma de Barcelona Curso académico 2009-10 Diseño de Circuitos Integrados I Ingeniería Informática

costes asociados Elena Valderrama Universitat Autònoma de Barcelona Curso académico 2009-10 Diseño de Circuitos Integrados I Ingeniería Informática página >>1 al Diseño de CIs Universitat Autònoma de Barcelona Curso académico 2009-10 Capítulo 4: y Capítulo 4: y costes página >>2 Capítulo 4: y costes En este capítulo se estudian las distintas alternativas

Más detalles

50 s. Transistor. Segunda generación de Computadoras 1952, FET tiristor comercial. 1956, Tiristor 1947, BJT. Nobel Física (1956) Brattain

50 s. Transistor. Segunda generación de Computadoras 1952, FET tiristor comercial. 1956, Tiristor 1947, BJT. Nobel Física (1956) Brattain Fleming (1904) DeForest (1907) 50 s Transistor 1947, BJT Bardeen Brattain Shockley Nobel Física (1956) 1952, FET 1956, Tiristor Segunda generación de Computadoras 1958 tiristor comercial Fairchild SC 1958

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

CLASE 14 TALLER: ENTORNO DE DESARROLLO L EDIT

CLASE 14 TALLER: ENTORNO DE DESARROLLO L EDIT CLASE 14 TALLER: ENTORNO DE DESARROLLO L EDIT CDg 14 1 TRANSISTORES MOSFET: Un transistor MOSFET de enriquecimiento consta de 2 terminales (dreno y fuente) de un tipo de dopado, inmersas en un sustrato

Más detalles

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes.

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. 2 Lógica Estándar Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. Microprocesadores / DSP Enfoque distinto para diseño de sistemas

Más detalles

Fig 4-7 Curva característica de un inversor real

Fig 4-7 Curva característica de un inversor real Clase 15: Criterios de Comparación de Familias Lógicas. Características del Inversor Real Cuando comenzamos a trabajar con un inversor real comienzan a aparecer algunos inconvenientes que no teníamos en

Más detalles

Ivan Dario posso 20081283019 Diana K. Avella 20071283004 ÍNDICE ÍNDICE DE FIGURAS

Ivan Dario posso 20081283019 Diana K. Avella 20071283004 ÍNDICE ÍNDICE DE FIGURAS 1 Diseño de una unidad de control para una red de informadores electronicos con algoritmos de multiples efectos de visualizacion incorporando CPLD y FPGAs para la empresa Ciel Ingenieria Ltda. Ivan Dario

Más detalles

Electrónica Básica. Familias Lógicas. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC

Electrónica Básica. Familias Lógicas. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Electrónica Básica 1 Familias Lógicas Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Familias lógicas 2 Basadas en transistores de efecto de campo CMOS:

Más detalles

Introducción a la Informática

Introducción a la Informática Correctas Incorrectas Cortas Diseño BD TOTAL Introducción a la Informática Facultad de ADE Junio 2008. Conv. Ordinaria Examen tipo: A Nombre: Apellidos: D.N.I.: Grupo: Cuestiones (6 5 puntos) Marca con

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

Redes de Computadores Contenido.

Redes de Computadores Contenido. Contenido. Introducción Conceptos básicos Modelo de referencia OSI de ISO Redes de área local. Proyecto IEEE 802.3 Redes de área extensa Conceptos Básicos. Clasificación de redes Redes Comunicación Ejemplos

Más detalles

1.1. Introducción. Definiciones

1.1. Introducción. Definiciones Tema I Introducción En este tema vamos a tratar de introducir al alumno en los denominados sistemas empotrados. En este tema introduciremos una posible definición de estos sistemas, así como una posible

Más detalles

Organización de Computadoras. Turno Recursantes Clase 8

Organización de Computadoras. Turno Recursantes Clase 8 Organización de Computadoras Turno Recursantes Clase 8 Temas de Clase Subsistema de Memoria Organización de Memoria Principal Notas de clase 8 2 Memoria Velocidad del procesador: se duplica cada 18 meses

Más detalles

2.3 Lenguajes de descripción: - Lenguajes de descripción hardware: VHDL, Verilog - Álgebra de Boole

2.3 Lenguajes de descripción: - Lenguajes de descripción hardware: VHDL, Verilog - Álgebra de Boole 2.1 El paradigma de un sistema digital: - Conceptos básicos sobre un computador - Evolución de la tecnología de computadores 2.2 Sistemas digitales: - Niveles de descripción - Técnicas de descripción 2.3

Más detalles

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas Curso 07/08 Departamento de Arquitectura y Tecnología de Sistemas Informáticos - Facultad de Informática - Universidad

Más detalles

J-FET de canal n J-FET (Transistor de efecto campo de unión) J-FET de canal p FET

J-FET de canal n J-FET (Transistor de efecto campo de unión) J-FET de canal p FET I. FET vs BJT Su nombre se debe a que el mecanismo de control de corriente está basado en un campo eléctrico establecido por el voltaje aplicado al terminal de control, es decir, a diferencia del BJT,

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

CPS Cyber-Physical Systems

CPS Cyber-Physical Systems CPS Cyber-Physical Systems Concepto Características y Propiedades Arquitectura Aplicaciones Diferencias entre OIT y CPS Los CPS son complejos? Propuesta Concepto Sistemas ciber-físicos (CPS) es la sinergia

Más detalles

TEMARIO DE INSTALACIONES ELECTROTÉCNICAS. Aprobado por Orden de 1 de febrero de 1996 (BOE del 13)

TEMARIO DE INSTALACIONES ELECTROTÉCNICAS. Aprobado por Orden de 1 de febrero de 1996 (BOE del 13) TEMARIO DE INSTALACIONES ELECTROTÉCNICAS Aprobado por Orden de 1 de febrero de 1996 (BOE del 13) 1. Configuración y cálculo de instalaciones electroacústicas. Tipología y características. Fenómenos acústicos.

Más detalles

TEMARIO DE PROFESORES TÉCNICOS DE F.P. INSTALACIONES ELECTROTÉCNICAS

TEMARIO DE PROFESORES TÉCNICOS DE F.P. INSTALACIONES ELECTROTÉCNICAS TEMARIO DE PROFESORES TÉCNICOS DE F.P. INSTALACIONES ELECTROTÉCNICAS "Publicado en el B.O.E. de 13 de febrero de 1.996" OCTUBRE 1997 INSTALACIONES ELECTROTÉCNICAS 1. Configuración y cálculo de instalaciones

Más detalles

Memorias no volátiles

Memorias no volátiles Memorias no volátiles Todo circuito secuencial dispone de una memoria de algún tipo, ya que cada biestable, registro o contador, permite almacenar un determinado número de bits de información. Sin embargo,

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7 UNIVERSIDAD NACIONAL DE LA MATANZA DEPARTAMENTO DE INGENIERIA E INVESTIGACIONES TECNOLOGICAS INGENIERIA EN INFORMATICA ARQUITECTURA DE COMPUTADORAS (1109) Profesor Titular: Ing. Fernando I. Szklanny PLANIFICACIÓN

Más detalles

Placa de control MCC03

Placa de control MCC03 Placa de control MCC03 Placa de control MCC03 La placa de control basada en el micro controlador PIC 16F874A de Microchip, es la encargada del procesar los datos que se introducen en el sistema y actuar

Más detalles

Electrónica Digital. Conceptos Digitales. Dr. Oscar Ruano 2011-2012 1

Electrónica Digital. Conceptos Digitales. Dr. Oscar Ruano 2011-2012 1 Electrónica Digital Conceptos Digitales Dr. Oscar Ruano 2011-2012 1 Magnitudes analógicas y digitales Magnitud Analógica: toma valores continuos: Por ejemplo la temperatura no varía de entre 20ºC y 25ºC

Más detalles

- Facilidad para el manejo de especificaciones, reglamentos y normas de obligado cumplimiento.

- Facilidad para el manejo de especificaciones, reglamentos y normas de obligado cumplimiento. Competencias generales - Capacidad para redactar, desarrollar y firmar proyectos en el ámbito de la ingeniería de telecomunicación, que tengan por objeto, según la especialidad, la concepción, el desarrollo

Más detalles

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Aspectos generales sobre diseño microelectrónico 1

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Aspectos generales sobre diseño microelectrónico 1 Introducción TEMA 1 TECNOLOGÍA DE LOS CI ME Tema 1 Aspectos generales sobre diseño microelectrónico 1 ÍNDICE TEMA 1 ASPECTOS GENERALES SOBRE DISEÑO MICROELECTRONICO Evolución del diseño electrónico Proceso

Más detalles

Capítulo 1: Diseño Estructurado:

Capítulo 1: Diseño Estructurado: Capítulo 1: Diseño Estructurado: Metodología General de Diseño Top-Down Herramientas Tecnologías de implementación Test de Circuitos y Sistemas DEA SED 1-1 Metodologías General de Diseño Análisis del Sistema

Más detalles

Código: ADS-643. Horas Semanales: 4. Prelaciones: ELE-543

Código: ADS-643. Horas Semanales: 4. Prelaciones: ELE-543 INSTITUTO UNIVERSITARIO JESÚS OBRERO PROGRAMA DE ESTUDIO Unidad Curricular: Análisis y Diseño de Sistemas Digitales Carrera: Electrónica Semestre: Sexto Código: ADS-643 Horas Semanales: 4 Horas Teóricas:

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Interfaces de entrada y salida

Interfaces de entrada y salida Interfaces de entrada y salida Las interfaces establecen la comunicación entre la unidad central y el proceso, filtrando, adaptando y codificando de forma comprensible para dicha unidad las señales procedentes

Más detalles

LA ELECTRÓNICA APLICADA EN LA REPARACIÓN AUTOMOTRIZ

LA ELECTRÓNICA APLICADA EN LA REPARACIÓN AUTOMOTRIZ Conferencia virtual tutallermecanico.com.mx LA ELECTRÓNICA APLICADA EN LA REPARACIÓN AUTOMOTRIZ Prof. Armando Mata Domínguez Temario 1. El campo de la electricidad y electrónica aplicada en el automóvil.

Más detalles

Cursos de la Especialidad de Electrónica

Cursos de la Especialidad de Electrónica Cursos de la Especialidad de Electrónica PRIMER AÑO Física El curso comprende los siguientes temas: electricidad, electromagnetismo, análisis de circuitos eléctricos, medidas eléctricas, física no eléctrica.

Más detalles

ÍNDICE TEMA 3 DISEÑO CMOS. El inversor CMOS Diseño CMOS estático Diseño CMOS dinámico Diseño CMOS de bajo consumo Bibliografía

ÍNDICE TEMA 3 DISEÑO CMOS. El inversor CMOS Diseño CMOS estático Diseño CMOS dinámico Diseño CMOS de bajo consumo Bibliografía ÍNDICE TEM 3 ÍNDICE DISEÑO CMOS El inversor CMOS Diseño CMOS estático Diseño CMOS dinámico Diseño CMOS de bajo consumo ibliografía ESTRUCTURS LÓGICS CMOS 1 EL INVERSOR CMOS Se trata del elemento básico

Más detalles