GAL 22V10 Herramientas software

Tamaño: px
Comenzar la demostración a partir de la página:

Download "GAL 22V10 Herramientas software"

Transcripción

1 GAL22V10 GAL 22V10 Herramientas software Departamento de Electrónica Fundación San Valero Dispositivo GAL 22V10 DESCRIPCIÓN FUNCIONAL DEL DISPOSITIVO 1

2 Dispositivo GAL 22V10 DESCRIPCIÓN FUNCIONAL DEL MÓDULO DE TRABAJO Fases del diseño 2

3 Herramientas Software Para realizar la programación en ABEL es necesario utilizar el software: ISPDesignExpert de Latticce para posteriormente programar el dispositivo GAL22V10. Herramientas Software Para la grabación del dispositivo es necesario utilizar la herramienta software ISPVM System de Latticce para la programación de este dispositivo. 3

4 Iniciar un proyecto INICIAR UN PROYECTO Seleccionar dispositivo haciendo doble click en el icono del dispositivo Seguidamente seleccionar la fuente con la que vamos a trabajar (ABEL-HDL) Iniciar un proyecto Tras definir el nombre del módulo, fichero y titulo podemos comenzar a escribir el programa Una vez escrito el programa lo grabamos y pinchamos en Ejemplo.abl 4

5 Iniciar un proyecto Seguidamente debemos ejecutar: Compilador Lógico: Compile Logic Chequeo de sintaxis: Check Sintax Obtener las ecuaciones reducidas: Reduce Logic Iniciar un proyecto Una vez hemos comprobado que el fichero es correcto se procede a obtener el fichero JEDEC que se empleará posteriormente en la grabación del dispositivo. Para ello seleccionar en la parte izquierda Source in Project el dispositivo GAL22V10C y ejecutar cada una de las opciones a la derecha: Link Design, Fit Design Crear mapa de fusibles: Create Fuse Map 5

6 Simulación en proteus Una vez generado el fichero.jed realizamos el diseño del circuito en Proteus y cargamos el fichero para su ejecución. Simulación en proteus Si pulsamos Play podremos ver el funcionamiento real del circuito. 6

7 Lenguaje HDL Lenguaje HDL (Hardware Description Languages) Departamento de Electrónica Fundación San Valero Lenguaje HDL HDL es un lenguaje de descripción de sistemas digitales. Es de tipo no estructurado y permite la descripción de cualquier lógico tanto combinacional como secuencial. La descripción del circuito se puede realizar empleando tablas de verdad, ecuaciones lógicas y, para los sistemas secuenciales diagramas de estado. SINTAXIS DEL PROGRAMA HDL: Cabecera: Inicia el comienzo del programa Abel. El nombre del programa se indica tras el comando Module. Tenemos la opción de añadir un título tras la sentencia Title. Declaraciones: En este apartado se realiza una relación entre los nombres de las señales que se van a emplear durante el programa y los pines de E/S del chip a los que corresponden. Es importante conocer cuáles son los números de pines del dispositivo con el que trabajamos para no cometer errores. En este apartado también podemos realizar declaraciones de constantes, sin más que poner el nombre de la misma seguido del signo igual (=) y el valor que deseamos posea a lo largo del programa. 7

8 Lenguaje HDL Descripción lógica: Este es el cuerpo principal del programa Abel. Es donde se realiza la descripción lógica del proyecto a resolver. Cuando se compila, se obtiene un fichero interpretado por el grabador y que define las conexiones internas que hay que realizar sobre el dispositivo GAL. Fundamentalmente podemos encontrar tres tipos de estructuras dentro de la descripción lógica: tablas de la verdad, ecuaciones lógicas y diagramas de estado para aplicaciones secuenciales. Vectores de test: Este apartado es opcional y en el se pueden indicar una serie de vectores que serán aplicados para comprobar el correcto funcionamiento de la aplicación. Dicha comprobación se puede simular a nivel de software y permite comprobar el chip una vez grabado. En los vectores de test se indica tanto el valor que pueden tener las entradas como el valor que deben adquirir las salidas en función de esas entradas. Final del programa: Se indica el comando End seguido del nombre del fichero. Cuando el compilador encuentra dicho comando, se finaliza el proceso de compilación. Lenguaje HDL 8

9 Normas de sintaxis en HDL Una línea puede tener hasta 150 caracteres Cada linea termina mediante un retorno de carro Las palabras clave, identificadores y números deben estar separados por al menos un espacio. Excepciones a esta regla son las listas de identificadores separados por comas, expresiones donde los identificadores o números son separados por operadores o donde la separación la proporciona los paréntesis. Ni espacios, ni puntos pueden ser incluidos en una palabra clave, número, operador o identificador. Los espacios pueden aparecer en cadenas de caracteres, comentarios, bloques y argumentos. Las palabras clave se pueden escribir en mayúsculas, minúsculas o combinadas. Los identificadores pueden ser escritas en mayúsculas, minúsculas o combinadas, pero es sensible al tipo de letra: el identificador, salida, escrito completamente en minúsculas, no es el mismo que el identificador Salida. Caracteres y constantes Caracteres soportados: a - z (lowercase alphabet) A - Z (uppercase alphabet) 0-9 (digits) <space> # $? + & * ( ) - _ = + [ ] { } ; : ' " ` \, < >. / ^ % Cosntantes soportadas:.c. Entrada registrada (transición 0-1-0).D. Reloj con el flanco de bajada.f. Señal flotante de entrada o salida.k. Entrada registrada (transición 1-0-1).P. Registro pre cargado.u. Reloj c9on flanco de subida.x. Condición indeterminada.z. Valor triestado 9

10 Ecuaciones Lógicas Para la realización de ecuaciones lógicas en Abel, disponemos de cuatro tipos de operadores: Operadores lógicos: Operador Descripción! NOT & AND # OR $ XOR!$ XNOR Operadores aritméticos: Operador Ejemplo Descripción - -A Complemento a 2 - A-B Resta + A+B Suma No Válidos para conjuntos: * A*B Multiplicación / A/B División entera sin signo % A%B Módulo, resto de división << A<<B Rotar A a izquierda B bits >> A>>B Rotar B a izquierda B bits Operadores y Tablas de verdad Operadores relacionales: Operador Descripción == igual!= no es igual < menor que <= menor o igual que > Mayor que >= mayor o igual que TABLAS DE VERDAD: Las tablas de la verdad definen la lógica de los circuitos mediante una lista en la que se especifican los valores que deben tomar las salidas para cada combinación de las señales de entrada. Las tablas de la verdad pueden emplearse para la resolución de ejercicios combinacionales, cuando se realiza la asignación -> entre las señales de entrada y de salida. En este caso es necesario que las señales de salida sean definidas como combinacionales mediante la declaración: istype com También es posible para secuenciales mediante: istype reg 10

11 Bloques de ecuaciones El bloque de ecuaciones permite especificar funciones más complejas y obtener ecuaciones más fáciles de interpretar. Un bloque se define cerrándolo entre llaves ({}). CASE: La sentencia CASE se emplea bajo la sección State_diagram para indicar la transición de un estado de la máquina cuando hay múltiples condiciones que afectan la transición de estados. Se pueden encadenar sentencias CASE con IF-THEN-ELSE, GOTO y otras sentencias CASE, y se pueden además usar bloques de ecuaciones. IF-THEN-ELSE: Es una forma fácil de describir la progresión de un estado a otro en una máquina de estados. La expresión que sigue a la palabra clave IF es evaluada y, si el resultado es verdadero, la máquina va al estado indicado por state_exp que sigue a la palabra clave THEN. Si el resultado de la expresión es falso, la máquina avanza al estado indicado por la palabra clave ELSE. Bloques de ecuaciones Ejemplo IF-THEN-ELSE: IF A==b THEN 2; Si A es igual a B ir al estado 2 IFX-YTHENJELSEK; Six-ynoesoj,sinok WHEN-THEN-ELSE: Esta sentencia se emplea en ecuaciones. WITH: La sentencia With se emplea en la sección de diagramas de estados. Cuando se usa conjuntamente con sentencias IF-THEN o CASE, permite escribir en términos de transiciones GRAFICOS DEC ESTADO: Es posible definir en Abel el comportamiento de un sistema secuencia sincrono, que comienza con la palabra clave STATE_DIAGRAM. En estas estructuras secuenciales pueden usarse sentencias de alto nivel como IF..THEN y CASE, la sintaxis es la siguiente: STATE_DIAGRAM lista_de_variables_de_estado o bien STATE_DIAGRAM vewctor_de_estado 11

12 12 Ejemplos de programación GND 1 GND I0-CLK(2) 5 I1(3) 6 I2(4) 7 I3(5) 8 I4(6) 9 I5(7) 10 I6(9) 11 I7(10) 12 I8(11) 13 I9(12) 14 I10(13) 15 I11(16) 16 I/O/Q0(17) 17 I/O/Q1(18) 18 I/O/Q2(19) 19 I/O/Q3(20) 20 I/O/Q4(21) 21 I/O/Q5(23) 22 I/O/Q6(24) 23 I/O/Q7(25) 24 I/O/Q8(26) 25 I/O/Q9(27) 26 PLD LED LED LED LED Ejemplos de programación GND 1 GND I0-CLK(2) 5 I1(3) 6 I2(4) 7 I3(5) 8 I4(6) 9 I5(7) 10 I6(9) 11 I7(10) 12 I8(11) 13 I9(12) 14 I10(13) 15 I11(16) 16 I/O/Q0(17) 17 I/O/Q1(18) 18 I/O/Q2(19) 19 I/O/Q3(20) 20 I/O/Q4(21) 21 I/O/Q5(23) 22 I/O/Q6(24) 23 I/O/Q7(25) 24 I/O/Q8(26) 25 I/O/Q9(27) 26 PLD a b f c g d e DPY a b c d e f g 8 dp dp D DPY_7-SEG_DP R1 220

13 Ejemplos de programación EXPENDEDORA DE CAFÉ: Vamos a realizar el control del monedero de una máquina de café. Cada café vale 100 pesetas y también se admiten monedas de 25, 50 y 100. La expendedora posee incorporado un circuito que detecta las monedas y envia dos señales eléctricas para indicarnos qué moneda se ha introducido. Si las señales indican 00 no hay ninguna moneda introducida, si se indica 01, se ha introducido una moneda de 25, con 10 una de 50 y con 11 una de 100 pesetas. Cuando se hayan insertado las monedas suficientes se activará una señal que indicará la salida del café. Inicialmente tendremos 5 estados: Cuando no haya ninguna moneda introducida El final cuando alcancemos o superemos el precio Los otros tres estados posibles serán (Hay25, Hay 50 y Hay 75) Después de llegar al estado fin y servir el café, se deberá ir automáticamente al estado Vacio en el siguiente ciclo de reloj. Ejemplos de programación GND GND I0-CLK(2) I1(3) I2(4) I3(5) I4(6) I5(7) I6(9) I7(10) I8(11) I9(12) I10(13) I11(16) I/O/Q0(17) I/O/Q1(18) I/O/Q2(19) I/O/Q3(20) I/O/Q4(21) I/O/Q5(23) I/O/Q6(24) I/O/Q7(25) I/O/Q8(26) I/O/Q9(27) PLD RELOJ RESET A CAFE Q2 Q1 Q0 B 13

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

PRACTICAS GAL22V10. Utilizar la placa PLD (GAL22V10), compilador de programas IspDesignExpert y programa de grabación del dispositivo IspVMSystem.

PRACTICAS GAL22V10. Utilizar la placa PLD (GAL22V10), compilador de programas IspDesignExpert y programa de grabación del dispositivo IspVMSystem. Práctica 1: Trabajo con operadores lógicos Objetivo: Operadores Lógicos Utilizar la placa PLD (GAL22V10), compilador de programas IspDesignExpert y programa Realizar un croquis en papel reseñando claramente

Más detalles

Lattice isplever. Características. Gestión de proyectos

Lattice isplever. Características. Gestión de proyectos Lattice isplever Características Conjunto de herramientas para el diseño con CPLD y FPGA Versión isplever starter gratuita (licencia 6 meses) Entorno de desarrollo integrado -> incluye Gestión de proyectos

Más detalles

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Una Máquina de estados es un dispositivo digital que atraviesa una predeterminada secuencia de estados. Las máquinas de estados se usan típicamente para

Más detalles

Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language).

Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language). Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language). 1.Lenguaje Abel. 2.Estructura básica de un programa Abel. 3.Links y referencias 2.1 Encabezado. 2.2 Declaraciones. 2.3 Descripción

Más detalles

Preparación: Para el diseño secuencial será necesario que utilices una señal de reloj. Para generar este pulso de reloj, refiérete a la práctica 2.

Preparación: Para el diseño secuencial será necesario que utilices una señal de reloj. Para generar este pulso de reloj, refiérete a la práctica 2. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 3 ISIS de Proteus para simulación Diseño de máquinas de estado con ABEL-HDL Dr. Juan Carlos Herrera Lozada. jlozada@ipn.mx Centro de Innovación y Desarrollo

Más detalles

Lenguaje C. República Bolivariana de Venezuela Fundación Misión Sucre Aldea Fray Pedro de Agreda Introducción a la Programación III

Lenguaje C. República Bolivariana de Venezuela Fundación Misión Sucre Aldea Fray Pedro de Agreda Introducción a la Programación III República Bolivariana de Venezuela Fundación Misión Sucre Aldea Fray Pedro de Agreda Introducción a la Programación III Lenguaje C 1 Puntos previos Los códigos fuentes generados en C requieren ser compilados

Más detalles

Todo programa en 'C' consta de una o más funciones, una de las cuales se llama main.

Todo programa en 'C' consta de una o más funciones, una de las cuales se llama main. LENGUAJE C CARACTERISTICAS DEL LENGUAJE 'C' El lenguaje 'C' se conoce como un lenguaje compilado. Existen dos tipos de lenguaje: interpretados y compilados. Los interpretados son aquellos que necesitan

Más detalles

Lección 2 Introducción al lenguaje C

Lección 2 Introducción al lenguaje C Lección Introducción al lenguaje C Decimal Binario Hexadecimal A B C D E F Octal Equivalencia entre decimal, binario, hexadecimal y octal. Código ASCII (American Standard Code for Information Interchange)

Más detalles

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 1 isplever: ABEL HDL, Diseño Lógico Combinatorio. jlozada@ipn.mx Centro de Innovación y Desarrollo Tecnológico en Cómputo Lab. de Diseño de Sistemas Digitales

Más detalles

5.1. Introducción a los sistemas digitales

5.1. Introducción a los sistemas digitales 5.. Introducción a los sistemas digitales 5... Sistemas digitales [ Wakerly.2 pág. 3] 5..2. Puertas y señales lógicas [ Wakerly.3 pág. 6] 5..3. Representación de la lógica digital [ Wakerly. pág. 9] 5..4.

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

Lenguaje ABEL. Introducción. Estructura de un archivo fuente ABEL. Estructura de un archivo fuente ABEL

Lenguaje ABEL. Introducción. Estructura de un archivo fuente ABEL. Estructura de un archivo fuente ABEL Lenguaje ABL Introducción structura de un archivo fuente ABL Formato Declaraciones Operadores Conjuntos Descripción lógica cuaciones Tablas Diagramas de estados Vectores de test Introducción ABL significa

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

Introducción a PL/SQL

Introducción a PL/SQL Introducción a PL/SQL Grupo de Ingeniería del Software y Bases de Datos Departamento de Lenguajes y Sistemas Informáticos Universidad de Sevilla noviembre 2011 Objetivos de este tema Conocer PL/SQL. PL/SQL

Más detalles

Repaso Lenguaje C Área de Servicios Programación (Ing. Elect. y Prof. Tec.), Programación I (TUG y TUR) y Electrónica programable (TUE)

Repaso Lenguaje C Área de Servicios Programación (Ing. Elect. y Prof. Tec.), Programación I (TUG y TUR) y Electrónica programable (TUE) Repaso Lenguaje C OBJETOS Variables Constantes Variable: objeto del ambiente cuyo contenido puede cambiar. Constante: objeto cuyo contenido no puede cambiar. Variables: - un nombre que la identifica. Deben

Más detalles

Características de JavaScript

Características de JavaScript Características de JavaScript Qué es JavaScript? o Lenguaje de programación interpretado utilizado fundamentalmente para dotar de comportamiento dinámico a las páginas web. o Cualquier navegador web actual

Más detalles

Práctica 4. Sistemas Digitales. Objetivos particulares

Práctica 4. Sistemas Digitales. Objetivos particulares Práctica 4 Sistemas Digitales Objetivos particulares Durante el desarrollo de esta práctica, el estudiante aplicará un método para obtener las diferentes representaciones de los sistemas digitales binarios,

Más detalles

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL 1.1. Introducción 1.2. Lenguajes para la descripción de hardware 1.3. Ciclo de diseño de los circuitos digitales 1.4. Tecnologías de circuitos integrados

Más detalles

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada ABEL Para Síntesis Dr. Juan C. Herrera Lozada jlozada@ipn.mx Caso de Estudio 1: Diseño Combinacional ABEL permite la descripción de circuitos mediante tablas de verdad, ecuaciones y diagramas de estado.

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

2. DISPOSITIVOS LÓGICOS PROGRAMABLES SIMPLES

2. DISPOSITIVOS LÓGICOS PROGRAMABLES SIMPLES UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Instituto de Electrónica y Mecatrónica 2. DISPOSITIVOS LÓGICOS PROGRAMABLES SIMPLES C I R C U I T O S D I G I T A L E S R E C O N F I G U R A B L E S 1 M. C. Felipe

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 ÍNDICE Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos

Más detalles

4. Operadores Operador asignación

4. Operadores Operador asignación Programación orientada a objetos con Java 43 4. Operadores Objetivos: a) Describir los operadores (aritméticos, incrementales, de relación, lógicos y de asignación) y los tipos de dato primitivos sobre

Más detalles

Problema - Junio 2ª semana:

Problema - Junio 2ª semana: Problema - Junio 2ª semana: El siguiente algoritmo describe una determinada operación de un sistema digital. A) (2 puntos) Diseñar la Unidad de Procesamiento que permita realizar este algoritmo utilizando

Más detalles

Guía práctica de estudio 05: Pseudocódigo

Guía práctica de estudio 05: Pseudocódigo Guía práctica de estudio 05: Pseudocódigo Elaborado por: M.C. Edgar E. García Cano Ing. Jorge A. Solano Gálvez Revisado por: Ing. Laura Sandoval Montaño Autorizado por: M.C. Alejandro Velázquez Mena Guía

Más detalles

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog:

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog: 3 - DISEÑO RTL Un diseño a nivel funcional o de comportamiento (behavioral description) permite describir circuitos digitales atendiendo únicamente a las relaciones existentes entre las entradas y salidas

Más detalles

Multiplexor de 3 a 1 Cuádruple con una GAL

Multiplexor de 3 a 1 Cuádruple con una GAL Multiplexor de 3 a 1 Cuádruple con una GAL Se ilustra el diseño de un multiplexor de tres entradas a una sola salida. La multiplexión significa transmitir un número grande de entradas con información digital

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos del diseño

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

roducción a la programación con el lenguaje C usando el entorno de trabajo Dev-C. Nociones básicas de programación

roducción a la programación con el lenguaje C usando el entorno de trabajo Dev-C. Nociones básicas de programación There are no translations available. Introducción a la programación con el lenguaje C usando el entorno de trabajo Dev-C. roducción a la programación con el lenguaje C usando el entorno de trabajo Dev-C.

Más detalles

PROGRAMACION ESTRUCTURADA: Tema 1. El lenguaje de programación C

PROGRAMACION ESTRUCTURADA: Tema 1. El lenguaje de programación C PROGRAMACION ESTRUCTURADA: Tema 1. El lenguaje de programación C Presenta: David Martínez Torres Universidad Tecnológica de la Mixteca Instituto de Computación Oficina No. 37 dtorres@mixteco.utm.mx Contenido

Más detalles

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

abril de 2017 Desarrollo de aplicaciones en Java Tipos de datos primitivos Tipos de datos Elementos de aplicaciones simples

abril de 2017 Desarrollo de aplicaciones en Java Tipos de datos primitivos Tipos de datos Elementos de aplicaciones simples Desarrollo de aplicaciones en Java Generación de código fuente Compilación Ejecución Elementos de aplicaciones simples EII147-01-02 Introducción a las tecnologías de información Escuela de Ingeniería Industrial

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana Solución al examen de Junio 2014, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

GLOSARIO 1. Qué es bit y byte? Bit: Es la unidad mínima de información. Puede ser 0 o 1. Byte: Es el conjunto de 8 bits. Ejemplo:

GLOSARIO 1. Qué es bit y byte? Bit: Es la unidad mínima de información. Puede ser 0 o 1. Byte: Es el conjunto de 8 bits. Ejemplo: Cuestionario Modulo 1.1 GLOSARIO 1. Qué es bit y byte? Bit: Es la unidad mínima de información. Puede ser 0 o 1. Byte: Es el conjunto de 8 bits. Ejemplo: 1001 0110. 2. qué es Dato? Definición: Es toda

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata Expression Node Formula Node MathScript MATLAB Script Contenido de esta clase Todas estas estructuras sirven para incorporar código en formato de texto a nuestra aplicación en LabVIEW. 2 Expression Node

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:

Más detalles

Principios de Computadoras II

Principios de Computadoras II Departamento de Ingeniería Electrónica y Computadoras Operadores y Expresiones rcoppo@uns.edu.ar Primer programa en Java 2 Comentarios en Java Comentario tradicional (multi-línea) Comentario de línea Comentario

Más detalles

Algoritmo, Estructuras y Programación I Ing. Marglorie Colina

Algoritmo, Estructuras y Programación I Ing. Marglorie Colina Unidad II: Fundamentos de la Programación Estructurada Algoritmo, Estructuras y Programación I Ing. Marglorie Colina Estructura General de un Programa Zona de ficheros de cabecera de las librerías Zona

Más detalles

Variables, expresiones y sentencias

Variables, expresiones y sentencias Introducción a la Programación Pontificia Universidad Javeriana Generado con LAT E X Febrero de 2010 Recorderis Recorderis Algoritmo Colección de instrucciones junto con un orden en el cual deben ser ejecutados.

Más detalles

Expresiones y Operadores

Expresiones y Operadores Lenguaje C Expresiones y Departamento de Electrónica Fundación San Valero básicos: Operador de asignación: = No es del todo equivalente al igual matemático. A la derecha siempre estará el valor a asignar,

Más detalles

Laboratorio de Arquitectura de Redes. Sentencias de control en lenguaje C

Laboratorio de Arquitectura de Redes. Sentencias de control en lenguaje C Laboratorio de Arquitectura de Redes Sentencias de control en lenguaje C Sentencias de control en lenguaje C Introducción Sentencia if-else Sentencia switch Sentencia while Sentencia do-while Sentencia

Más detalles

Apunte Laboratorio ALPI - El lenguaje de programación Pascal

Apunte Laboratorio ALPI - El lenguaje de programación Pascal Apunte Laboratorio ALPI - El lenguaje de programación Pascal 1 2 ÍNDICE GENERAL Índice 1. Estructura de un Programa en Pascal 3 2. Sintaxis de Pascal 4 2.1. Uso de mayúsculas.....................................

Más detalles

Informática Ingeniería en Electrónica y Automática Industrial

Informática Ingeniería en Electrónica y Automática Industrial Informática Ingeniería en Electrónica y Automática Industrial Sentencias de control en lenguaje Sentencias de control en lenguaje Introducción Sentencia if-else Sentencia switch Sentencia while Sentencia

Más detalles

Decodificador de Direcciones de Memoria en una GAL

Decodificador de Direcciones de Memoria en una GAL Decodificador de Direcciones de Memoria en una GAL La decodificación de memoria es una aplicación típica de los dispositivos lógicos programables, y la siguiente describe la implementación con ABEL-HDL

Más detalles

Tema: Entorno a C# y Estructuras Secuenciales.

Tema: Entorno a C# y Estructuras Secuenciales. Tema: Entorno a C# y Estructuras Secuenciales. Programación I, Guía 3 1 Facultad: Ingeniería Escuela: Ingeniería en Computación Asignatura: Programación I Objetivos Utilizar el entorno de programación

Más detalles

Diseño Lógico en el Mundo Real. Tema V. ABEL (Advanced Boolean Equation Language) Lenguajes de programación de PLD

Diseño Lógico en el Mundo Real. Tema V. ABEL (Advanced Boolean Equation Language) Lenguajes de programación de PLD Circuitos Digitales I Tema V Lenguajes ABEL y PLAN PLDs (Programmable Logic Devices) Estándares de documentación Circuitos MSI Diseño Lógico en el Mundo Real! Circuitos con mucho más de 6 entradas No es

Más detalles

Tema V. Diseño Lógico en el Mundo Real

Tema V. Diseño Lógico en el Mundo Real Circuitos Digitales I Tema V Lenguajes ABEL y PLAN PLDs (Programmable Logic Devices) Estándares de documentación Circuitos MSI Luis Tarazona, UNEPO Barquisimeto EL-3213 Circuitos Digitales I - 2004 158

Más detalles

Operadores aritméticos

Operadores aritméticos Bloque 3.2 Operadores aritméticos Suma : x + y Resta : x y Multiplicación : x * y División : x / y Módulo : x % y (resto de la división Si los operandos son enteros tipo del resultado

Más detalles

Registros de Tres Estados con la GAL22V10

Registros de Tres Estados con la GAL22V10 Registros de Tres Estados con la GAL22V10 Se presentan dos proyectos realizados con ABEL-HDL mediante el software de desarrollo isp LEVER starter de Lattice, ambos relacionados con salidas que pueden tomar

Más detalles

Fundamentos PHP. El término puntuación nos referimos a la sintaxis usada en PHP para la terminación de una línea de código (;)

Fundamentos PHP. El término puntuación nos referimos a la sintaxis usada en PHP para la terminación de una línea de código (;) Tags Fundamentos PHP La Sintaxis de PHP se deriva de muchos lenguajes de programación principalmente de C pero Perl también ha tenido mucha influencia en esta sintaxis Con las últimas incorporaciones agregadas,

Más detalles

Tema 2. Concepto de Algoritmo

Tema 2. Concepto de Algoritmo Tema 2. Concepto de Algoritmo Objetivos Definir el concepto de algoritmo Resolver problemas sencillos mediante el diseño de un algoritmo Conocer los tipos de datos elementales y las operaciones sobre ellos

Más detalles

Programación Estructurada. Sesión 2:El lenguaje de programación C

Programación Estructurada. Sesión 2:El lenguaje de programación C Programación Estructurada Sesión 2:El lenguaje de programación C Contextualización Una parte importante del lenguaje C son las palabras reservadas, son identificadores con un significado predefinido. Estas

Más detalles

Variables - Tipos de Datos - Operadores - Precedencia

Variables - Tipos de Datos - Operadores - Precedencia Variables - Tipos de Datos - Operadores - Precedencia Alejandro Furfaro Mayo 2011 Alejandro Furfaro () Variables - Tipos de Datos -Operadores - Precedencia Mayo 2011 1 / 12 Agenda 1 Tipos de Datos Macros

Más detalles

Practica 9 Estilos de codificar a estados FCE

Practica 9 Estilos de codificar a estados FCE Practica 9 Estilos de codificar a estados FCE2015-04-07 Parte 1. Diagramas de estado con VHDL Parte2. Cartas ASM con VHDL Introducción En el diseño de sistemas digitales, una parte importante es poder

Más detalles

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017 LABORATORIO DE SISTEMAS DIGITALES PRÁCTICA No. 8 Fecha: 03/07/2017-07/07/2017 Escuela Politécnica nacional Tema: FLIP-FLOPS 1. Objetivos: Familiarizar al estudiante con la utilización y funcionamiento

Más detalles

INTRODUCCIóN A LA PROGRAMACIóN APUNTES DE JAVA APUNTES DE JAVA

INTRODUCCIóN A LA PROGRAMACIóN APUNTES DE JAVA APUNTES DE JAVA APUNTES DE JAVA FUNCIONAMIENTO DE UN PROGRAMA Assembler Ensamblador Ejecuta Programador Programa fuente BASIC Interprete Ejecuta C, C++, Pascal Compilador Compila Ejecuta Programa fuente Programa Objeto

Más detalles

Introducción a la programación de PLD s

Introducción a la programación de PLD s Introducción a la programación de PLD s Sira E. Palazuelos Cagigas Ernesto Martín Gorostiza Departamento de Electrónica Universidad de Alcalá PALCE22V10 2 1 Proceso de diseño Problema de Diseño Especificación

Más detalles

Manual de turbo pascal

Manual de turbo pascal Universidad Nacional Experimental De Los Llanos Occidentales Ezequiel Zamora UNELLEZ-Barinas Manual de turbo pascal Bachilleres: Martinez Ninibeth C.I:20.867.002 Mora Yaco C.I:17.205.073 Estructura de

Más detalles

SISTEMAS DIGITALES VHDL

SISTEMAS DIGITALES VHDL SD SISTEMAS DIGITALES VHDL Fredy Hernán Riascos Campiño Practica 1a: Implementación de un incrementador: Se he de implementar un modulo incrementador (INC), Este modulo no es mas que un sumador y un registro

Más detalles

Comprender las diferencias entre tipos de datos primitivos similares, y aprender a elegir el tipo más conveniente en cada caso.

Comprender las diferencias entre tipos de datos primitivos similares, y aprender a elegir el tipo más conveniente en cada caso. Elementos básicos de programación en Java Objetivos del tema Conocer la estructura básica de un programa Java. Comprender los conceptos de tipo, valor y variable. Comprender las diferencias entre tipos

Más detalles

Carlos Montenegro. Programación Orientada a Objetos Proyecto Curricular de Ingeniería de Sistemas

Carlos Montenegro. Programación Orientada a Objetos Proyecto Curricular de Ingeniería de Sistemas 2 - Introducción al lenguaje Java, identificadores y comentarios. Carlos Montenegro Programación Orientada a Objetos Proyecto Curricular de Ingeniería de Sistemas 1. Introducción: Java tiene como todos

Más detalles

El código concurrente está destinado para el diseño de circuitos combinacionales.

El código concurrente está destinado para el diseño de circuitos combinacionales. Código Secuencial Código Secuencial El código concurrente está destinado para el diseño de circuitos combinacionales. Por su parte, el código secuencial puede ser usado indistintamente para el diseño de

Más detalles

INSTITUTO SUPERIOR DE EDUCACION RURAL ISER FUNDAMENTOS DE PROGRAMACION

INSTITUTO SUPERIOR DE EDUCACION RURAL ISER FUNDAMENTOS DE PROGRAMACION INTRODUCCIÓN A LA PROGRAMACIÓN POR COMPUTADORA Muchos usuarios creen que la programación es simplemente teclear palabras en una computadora. Eso es una parte, pero de ninguna manera todo. La programación

Más detalles

Clase 2: El Lenguaje Python

Clase 2: El Lenguaje Python Agosto 2012 Clase 2 Temario Consideraciones generales Operadores y expresiones Variables y Tipos Funciones Las Estructuras de Control Guía práctica Primer curso de programación usando robots y Python Objetivos

Más detalles

Resolución de Problemas y Algoritmos

Resolución de Problemas y Algoritmos RESOLUCIÓN DE PROBLEMAS Y ALGORITMOS CLASE 3 Definición y compatibilidad de tipos de datos. Sentencia condicional CASE. Luciano H. Tamargo http://cs.uns.edu.ar/~lt Depto. de Ciencias e Ingeniería de la

Más detalles

Programación. Test Autoevaluación Tema 3

Programación. Test Autoevaluación Tema 3 Programación Test Autoevaluación Tema 3 Autores: M. Paz Sesmero Lorente Paula de Toledo Heras Fco. Javier Ordoñez Morales Juan Gómez Romero José A. Iglesias Martínez José Luis Mira Peidro SOLUCIONES 1.

Más detalles

LENGUAJE. Tema 2 Elementos de un programa

LENGUAJE. Tema 2 Elementos de un programa LENGUAJE Tema 2 Elementos de un programa ELEMENTOS DE UN PROGRAMA Comentarios. Identificadores. Constantes. Variables. Operadores. Sentencias o instrucciones. COMENTARIOS Los comentarios en C pueden ocupar

Más detalles

Es un lenguaje estructurado, tiene una abundante cantidad de operadores y tipos de datos.

Es un lenguaje estructurado, tiene una abundante cantidad de operadores y tipos de datos. Lenguaje C Un poco de historia C es un lenguaje de propósito general, es decir, se pueden desarrollar aplicaciones de diversas áreas. Dentro de sus principales características podemos mencionar que: Es

Más detalles

5. Sentencias selectivas o condicionales

5. Sentencias selectivas o condicionales 60 A. García-Beltrán y J.M. Arranz 5. Sentencias selectivas o condicionales Objetivos: a) Describir el funcionamiento de las sentencias selectivas o condicionales (if-else y switch) b) Interpretar el resultado

Más detalles

Introducción a los lenguajes de descripción de hardware

Introducción a los lenguajes de descripción de hardware Introducción a los lenguajes de descripción de hardware Noviembre 2009 Jorge Juan Chico Departamento de Tecnología Electrónica Universidad de Sevilla Usted es libre de copiar, distribuir

Más detalles

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL. 30 ENERO 21 1º A (Superior) 1º B (Sistemas) 1º C (Gestión) SOLUCIONES 1.- Realiza los siguientes cambios de base, poniendo en todos los casos

Más detalles

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA ELO212 Laboratorio de Sistemas Digitales

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA ELO212 Laboratorio de Sistemas Digitales Objetivo Principal: Laboratorio 4. Redes Combinacionales II Diseñar y verificar redes combinacionales más complejas, en cuanto a tamaño y número de variables, utilizando dispositivos más complejos que

Más detalles

Centro Asociado Palma de Mallorca. Antonio Rivero Cuesta

Centro Asociado Palma de Mallorca. Antonio Rivero Cuesta Centro Asociado Palma de Mallorca Antonio Rivero Cuesta La Sintaxis de Java I... 5 Tipos de datos... 6 Tipos de datos simples... 7 Operadores... 11 Operadores Aritméticos... 12 Operadores relacionales...

Más detalles

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS.

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Práctica 3 DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Descripción VHDL de sistemas secuenciales Ángel Grediaga Olivo Universidad Alicante 1 Práctica 3 Descripción de registros y memorias Objetivos

Más detalles

Introducción a la Programación

Introducción a la Programación Introducción a la Programación Fundamentos de Programación Ingeniería Informática en Sistemas de Información Alicia Troncoso 1 Contenido l Introducción l Mi primer programa l Etapas a seguir en la programación

Más detalles

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales Introducción a VHDL Por: Carlos A. Fajardo cafajar@uis.edu.co Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño

Más detalles

El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos.

El objetivo de la práctica es conocer el software Xilinx ISE 9.1 mediante la captura de esquemáticos. Universidad de Antioquia Facultad de Ingeniería Departamento de Ingeniería Electrónica Laboratorio de Circuitos Digitales I Práctica 0: Manejo del software de laboratorio El objetivo de la práctica es

Más detalles

Pre-Laboratorio 1 MA-33A : Introducción a Matlab

Pre-Laboratorio 1 MA-33A : Introducción a Matlab Pre-Laboratorio 1 MA-33A 2007-1: Introducción a Matlab Gonzalo Hernández - Gonzalo Rios UChile - Departamento de Ingeniería Matemática 1 Interfaz de Matlab Al ejecutar el programa Matlab, se abrirá una

Más detalles

TUTORIAL PROLOG. Lenguajes de programación Universidad Nacional de Colombia Santiago Carvajal Castañeda Mario Andrés Moreno Norato

TUTORIAL PROLOG. Lenguajes de programación Universidad Nacional de Colombia Santiago Carvajal Castañeda Mario Andrés Moreno Norato TUTORIAL PROLOG Lenguajes de programación Universidad Nacional de Colombia Santiago Carvajal Castañeda Mario Andrés Moreno Norato Prolog es un lenguaje de programación lógica de propósito general que se

Más detalles

FUNDAMENTOS DE INFORMÁTICA

FUNDAMENTOS DE INFORMÁTICA FUNDAMENTOS DE INFORMÁTICA Tema 2 Expresiones, operadores y estructuras de control Departamento de Ingeniería de Sistemas y Automática Universidad de Vigo Fundamentos de Informática. Departamento de Ingeniería

Más detalles

Informática General 2018 Cátedra: Valeria Drelichman, Pedro Paleo, Leonardo Nadel, Norma Morales

Informática General 2018 Cátedra: Valeria Drelichman, Pedro Paleo, Leonardo Nadel, Norma Morales UNA / AREA TRANSDEPARTAMENTAL DE ARTES MULTIMEDIALES Licenciatura en Artes Multimediales Informática General 2018 Cátedra: Valeria Drelichman, Pedro Paleo, Leonardo Nadel, Norma Morales JavaScript - Programación

Más detalles

CAPÍTULO IV: 4.1 Introducción a la. Programación Funcional

CAPÍTULO IV: 4.1 Introducción a la. Programación Funcional CAPÍTULO IV: Programación Funcional 4.1 Introducción a la Programación Funcional 1 Programación Funcional Paradigma diferente a los imperativos, que se aleja de la máquina de von Neumann Basado en funciones

Más detalles

Componentes Básicos. InCo. InCo Componentes Básicos 1 / 28

Componentes Básicos. InCo. InCo Componentes Básicos 1 / 28 Componentes Básicos InCo InCo Componentes Básicos 1 / 28 Modelo de Computación Vemos al computador como un procesador de datos. +------------+ Entrada ===> Computador ===> Salida +------------+ InCo Componentes

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Álgebra de conmutación y simplificación de funciones lógicas Álgebra Booleana. Análisis de circuitos combinacionales.

Más detalles

Lenguaje de Diseño. Primera Parte. Segundo Cuatrimestre 2017

Lenguaje de Diseño. Primera Parte. Segundo Cuatrimestre 2017 Primera Parte Fund. de la Informática Int. a la Programación Int. a la Computación Resolución de Problemas y Algoritmos Segundo Cuatrimestre 2017 1 Etapas en el proceso de resolver un problema: 1- Comprender

Más detalles

Programación Orientada a Objetos Sentencias Java Parte I Ing. Julio Ernesto Carreño Vargas MsC.

Programación Orientada a Objetos Sentencias Java Parte I Ing. Julio Ernesto Carreño Vargas MsC. Sentencias Java Parte I Ing. Julio Ernesto Carreño Vargas MsC. Variables Conceptos de Memoria Una variable es un espacio en la memoria del PC Cada variable tiene un nombre, un tipo, un tamaño y un valor

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles