81,'$''(0$1(-2'(81$0(025,$65$0 3$5$0,&52&21752/$'25(19+'/

Tamaño: px
Comenzar la demostración a partir de la página:

Download "81,'$''(0$1(-2'(81$0(025,$65$0 3$5$0,&52&21752/$'25(19+'/"

Transcripción

1 81,'$''(0$1(-2'(81$0(025,$5$0 3$5$0,&52&21752/$'25(19+'/ A. D. ASSANDRI, G. A. ENSINCK y C. G. GIL,QVWLWXWRGH$XWRPiWLFD 8QLY1DFGHDQ-XDQ -$5/DQ-XDQ$UJHQWLQD DDVVDQGU#LQDXWXQVMHGXDU 'WRGH(OHFWUyQLFD\$XWRPiWLFD8QLY1DFGHDQ-XDQ -$5/DQ-XDQ $UJHQWLQD JXVWDYR#XQVMHGXDUFJLO#XQVMHGXDU 5HVXPHQ (OGHVDUUROORGHVLVWHPDVGLJLWDOHVGH PHGLDQD\DOWDFRPSOHMLGDGVHKDYLVWRIDFLOLWDGRHQ ORV ~OWLPRV DxRV FRQ OD DSDULFLyQ GH GLVSRVLWLYRV SURJUDPDEOHVGHJUDQFDSDFLGDGFRPRORV&3/'V\ )3*$V /D DSOLFDFLyQ GH HVWRV GLVSRVLWLYRV VH KD YLVWRSRWHQFLDGDSRUODGLVSRQLELOLGDGGHKHUUDPLHQ WDVSDUDODVtQWHVLVGHFLUFXLWRVXVDQGROHQJXDMHVGH GHVFULSFLyQGHKDUGZDUHFRPR9+'/\9HULORJ(Q HO FDPSR GH OD DGTXLVLFLyQ UHPRWD GH GDWRV VH KD YXHOWR XQD QHFHVLGDG FRQWDU FRQ HTXLSRV GH PX\ EDMRFRQVXPR\JUDQ FDSDFLGDGGHDOPDFHQDPLHQWR GH LQIRUPDFLyQ (Q HVWH WUDEDMR VH SUHVHQWD XQD XQLGDGGHPDQHMRGHXQDPHPRULD5$0QRYROiWLO GH JUDQ FDSDFLGDG TXH SXHGH VHU DGRVDGD D FXDO TXLHU PLFURFRQWURODGRU &02 GH EDMR FRVWR (O GLVHxR IXH tqwhjudphqwh GHVDUUROODGR HQ 9+'/ XViQGRVH SDUD OD LPSOHPHQWDFLyQ XQ &3/' GH OD IDPLOLD GH GLVSRVLWLYRV GH &\SUHVV HPLFRQGXFWRUV &RUS 3DODEUDV FODYH &LUFXLWRV GLJLWDOHV PiTXLQDV GHHVWDGRVILQLWRVGLVSRVLWLYRVOyJLFRVSURJUDPDEOHV OHQJXDMHV GH GHVFULSFLyQ GH KDUGZDUH DGTXLVLFLyQ UHPRWDGHGDWRV,,1752'8&&,¾1 Se plantea el diseño de una parte de un sistema para la adquisición remota de datos compuesto por un microcontrolador, una memoria SRAM no volátil de 2 Mbytes y una unidad de manejo de la memoria para el almacenamiento y la lectura de los datos. El circuito desarrollado puede ser aplicado a cualquier microcontrolador que disponga de un puerto paralelo bidireccional de 8 bits y de 3 líneas adicionales de control (2 salidas y 1 entrada) y que además cuente con conversores A/D apropiados. Se plantea el diseño e implementación de una unidad de manejo de memoria (UMM) que sea capaz de almacenar y recuperar datos enviados por el microcontrolador, ya sea en forma individual o por grupos, usando un CPLD de la familia Cypress. La UMM está compuesta de una máquina de estados finitos (MEF), varios registros y un contador binario de 21 bits con carga en paralelo. La descripción de los componentes y el comportamiento del circuito se realizó usando el lenguaje de descripción de hardware VHDL. En la Fig. 1 se puede observar un esquema del sistema. Por el puerto paralelo de 8 bits del microcontrolador se accede a un bus externo de datos, instrucciones y direcciones parciales. La MEF se usa como unidad de control de la UMM, la que recibe instrucciones desde el microcontrolador, las almacena en un registro de instrucciones (RI) y luego genera las secuencias necesarias en las líneas del circuito para ejecutar lo que se solicita. Se plantean tres tipos de instrucciones básicas: cargar una dirección de memoria, escribir y leer en la memoria. A su vez, las operaciones de lectura y escritura pueden ser de dos tipos: sencilla, o sea acceder a un solo dato, o bien múltiple, en cuyo caso el contador se incrementa automáticamente en uno cada vez que se completa un ciclo de lectura o escritura. La Tabla 1 muestra los códigos de instrucción utilizados en cada una de ellas. Tabla 1 Códigos de instrucción RD2 RD1 RD0 Función 0 0 X No opera 0 1 X Cargar dirección de memoria Lectura de dato con incremento del contador Lectura de dato sin incremento del contador Escritura de dato con incremento del contador Escritura de dato sin incremento del contador Dado que el bus de direcciones de la memoria es de 21 bits, se debe armar la dirección en 3 ciclos de acceso desde el microcontrolador. En los dos primeros se pasan la parte baja y media de la dirección (de 8 bits) y en el tercero la parte alta, de 5 bits. Cada parte de la dirección es almacenada en un registro parcial. Cuando se completa una dirección, los contenidos de los registros parciales son cargados en el contador. A los efectos de sincronizar la transferencia de datos, se dispone de 3 líneas de control. La línea,1'$72, generada por el microcontrolador, indica si en el bus externo hay una instrucción o un dato. Como dato se interpreta uno que se lee o escribe en la memoria o bien una dirección parcial que está siendo enviada a la UMM. Con las líneas 0,B3/' y 3/'B0, se implementa un protocolo de comunicación de 4 pasos (Katz, 1994) entre el microcontrolador y la unidad de manejo

2 RESET CPLD Cypress CY3725P10-83 CNT_M0 CNT_M1 Sincronizadores Microcontrolador 8705R3 Puerto paralelo 8 bits Registro de Instrucciones 3 Sincro2 Sincro1 CAR_RI RI2 RI1 RI0 Máquina de Estados Latch3 Latch2 Latch1 Latch 3 Latch 2 Latch 1 Dirección de memoria Bus de datos, instrucciones y direcciones parciales Reloj Contador de 21 bits con carga en paralelo Bus Direcciones A0 ~ A20 Memoria SRAM no volátil 2 Mbytes Dallas DS1270Y/AB D0 ~ D7 /WE /OE /CE de memoria. Este protocolo está basado en el paradigma maestro/esclavo, siendo el microcontrolador el maestro en las operaciones de envío de instrucciones y escritura de datos. Durante la lectura, los roles se intercambian, siendo la MMU el maestro. Las entradas asincrónicas de la MMU disponen de un sincronizador a los efectos de evitar tanto carreras de transición en la MEF como problemas de metaestabilidad en los flip-flops. También se dispone de una entrada de inicialización (5((7), que en este caso es generada por el microcontrolador, y de una entrada para el reloj del sistema.,,0 48,1$'((7$'2 La unidad de control de la UMM es una MEF cuyo diagrama se muestra en las Figs. 3, 4, 5 y 7. Para la construcción del diagrama se utilizó el método de las cartas ASM planteado en Clare (1973) y modificado por Mange (1992). En esta máquina se pueden identificar 4 partes: a) Inicialización y decodificación de instrucciones. b) Carga de una dirección. c) Lectura de datos. d) Escritura de datos. )LJ Diagrama en bloques de la UMM. máquina se salga de su secuencia normal por algún problema de funcionamiento. Por lo tanto, todos los estados no usados tienen como próximo estado el estado inicial, que hace las veces de HVWDGRVHJXUR (Wakerly, 2000). En el estado inicial la máquina espera que se active tanto la señal de instrucciones (,1'$72) como la de inicio del protocolo de comunicación. Para ello el microprocesador primero debe colocar en el puerto paralelo la instrucción a ejecutar por la MMU, poner,1'$72 en uno y luego indicarle a la MMU que guarde la instrucción en el registro de instrucciones activando la señal 0,B3/'. Cuando la MEF detecta que se activó esta señal, carga el registro de instrucciones con el valor presente en las 3 líneas menos significativas del bus de datos, activando la señal &$5B5,. Bus de Datos Instrucción válida $,QLFLDOL]DFLyQ\GHFRGLILFDFLyQGHLQVWUXFFLRQHV La máquina se inicializa con la señal 5((7 generada ya sea por el microcontrolador o por una llave que se disponga al efecto. Esta señal es de tipo asincrónico y prevalece sobre las otras entradas de la máquina. El estado inicial también se fuerza en el caso de que la )LJ Protocolo de comunicación de 4 pasos A continuación, la MEF le informa al microcontrolador que la instrucción ha sido leída, subiendo la señal 3/'B0,. Cuando el microprocesador recibe esta señal, debe poner en cero la línea 0,B3/', a lo que la MEF responde bajando la línea 3/'B0,. La secuencia

3 RESET 1 4 LATCH2 CAR_RI LATCH1 LATCH3 RD2 RD1 RD CNT_M0 Cargar dirección Escritura de datos )LJ MEF Inicialización y decodificación de instrucciones. descrita conforma el protocolo de comunicación de 4 pasos mencionado anteriormente, que se muestra en la Fig. 2. A continuación la MEF decodifica la instrucción que tiene almacenada en el RI. Esto se hace mediante un árbol de casillas de decisión que deriva en 4 ramas diferentes: la primera no realiza operación alguna, la segunda es para la carga de una dirección, la tercera para la escritura de los datos y la cuarta para la lectura de los mismos. %&DUJDGHXQDGLUHFFLyQGHPHPRULD Lectura de datos Cuando la máquina se inicializa, el contador de direcciones de memoria de la UMM es puesto a cero. La UMM puede arrancar desde esa dirección o bien se Fig. 4 MEF Carga de una dirección de memoria puede cargar una dirección a través del puerto paralelo del microcontrolador. Debido a que las direcciones de memoria son de 21 bits y el puerto paralelo sólo de 8 bits, esta operación se debe hacer en tres etapas. Para la comunicación con el microcontrolador se usa un protocolo similar al de la Fig. 2, pero repetido 3 veces. Para ello la MEF espera que el microcontrolador ponga en cero la línea,1'$72, indicando que ya se terminó con la fase de carga de instrucción y que por el puerto paralelo aparecerán las direcciones parciales. En el primer ciclo del protocolo de comunicación se cargan los 8 bits menos significativos de la dirección en el Latch 1, luego los 8 bits siguientes en el Latch 2 y por último los 5 más significativos en el Latch 3. Una vez que se tiene una dirección completa en los registros temporarios, la MEF genera el código de modo correspondiente a la carga en paralelo del contador (01). De 4

4 2 La MEF espera que el microcontrolador ponga en cero la señal,1'$72 una vez que ha concluido la fase de enviar el código de instrucción. El microcontrolador debe colocar el dato a almacenar en la memoria en el puerto paralelo, y luego, afirmando la señal 0,B3/', le informa a la UMM que el dato está disponible. La MEF afirma entonces la señal :(B/ de la SRAM (que es activa en bajo) y de esta manera se escribe el dato en la memoria, como se muestra en el diagrama de tiempo de la Fig.. Bus de Datos Dato válido OE_L WE_L RD0 CNT_M1 4 )LJ MEF Escritura de datos en la memoria. esta manera se termina la ejecución de la carga de la dirección y se va a esperar una nueva instrucción. &(VFULWXUDGHGDWRVHQODPHPRULD Una vez que se tiene cargada una dirección de memoria en el contador, se puede enviar una instrucción para almacenar un dato. Cuando la MEF decodifica esta instrucción (con los dos bits más significativos del código), se generan las señales apropiadas para que el dato que coloca el microcontrolador en el puerto paralelo sea guardado en la dirección apuntada por el contador. )LJ Diagrama de tiempo para la escritura de un dato. Con el bit menos significativo del código de instrucción (5'), se diferencian dos modos distintos de operar con la escritura. Si 5' vale cero, al finalizar la escritura de un dato el contador se incrementa en uno y apunta a la dirección siguiente de memoria. Para ello se genera el código correspondiente (10) en las salidas de la MEF que van a las entradas de modo del contador. De esta manera, mientras,1'$72 siga valiendo cero, se pueden seguir guardando datos en lugares consecutivos de la memoria sin necesidad de enviar un código de instrucción en cada ciclo. En el caso en que 5' valga uno, una vez que el dato se almacena, el contador queda apuntando a la misma dirección. Esta instrucción es ligeramente más rápida (un estado menos), y se dispone para cuando se tienen que almacenar datos en distintos sitios de la memoria. Como desventaja, este modo requiere de un código de instrucción por cada dato a almacenar. '/HFWXUDGHGDWRVGHODPHPRULD Esta instrucción también requiere que previamente se cargue la dirección de memoria a leer. Al igual que en las instrucciones anteriores, la MEF espera que el microcontrolador ponga en cero la línea,1'$72. Durante este tiempo, la entrada de habilitación de la salida de la SRAM (2(B/) se afirma (es activa en bajo) para optimizar el tiempo requerido por las salidas de la memoria para salir del estado de alta impedancia. Como en este caso es la MEF quien debe informarle al microcontrolador que el dato a leer está disponible, el rol de maestro del protocolo de comunicación lo asume la primera. Una vez transcurrido el tiempo de acceso a

5 3 Bus de Datos Dato válido WE_L OE_L WE_L, RD0 CNT_M1 4 )LJ MEF Lectura de datos de la memoria la memoria y que el dato está presente en el bus, la MEF activa la señal 3/'B0,. El microcontrolador debe esperar por esta señal, y una vez que ha capturado el dato debe afirmar la señal 0,B3/', para informar esta situación. La MEF espera por esta señal y luego baja la línea 3/'B0, a lo que el microcontrolador debe responder bajando la línea 0,B3/'. Esto también conforma un protocolo de comunicación de 4 pasos, tal como se muestra en la Fig. 8. En este caso también se tienen dos modos de operar diferentes, en función del valor del bit menos significativo del código de la instrucción (5'). Cuando este bit vale cero, el contador se incrementa con cada dato leído. )LJ Diagrama de tiempo de la lectura de un dato. Esto permite leer datos consecutivos de la memoria sólo manteniendo la línea,1'$72 en cero. En el otro caso, se puede acceder a un solo dato y el microcontrolador debe levantar la línea,1'$72 al mismo tiempo (o antes) de bajar la línea 0,B3/' para evitar que se produzca un error en la comunicación.,,,2752&20321(17( En esta sección se describen el resto de los componentes que conforman la UMM, es decir los registros, el contador y los sincronizadores. $5HJLVWURV En la UMM se dispone de varios registros, todos ellos implementados como registros sincrónicos con señal de habilitación activa en alto. Cada registro tiene una entrada de puesta a cero (5((7) asincrónica. Estos registros fueron implementados en VHDL con un paquete genérico definido en el archivo ODWFKYKG, en el que se fija un ancho arbitrario de 8 bits. El ancho se puede modificar y ajustarlo a los requerimientos al hacer la instanciación del componente. Se tienen 3 registros para direcciones parciales de memoria, dos de 8 bits para la parte baja (ODWFK) y media (ODWFK) de la dirección de memoria y uno de 5 bits (ODWFK) para la parte alta de la misma. Además, se dispone del registro de instrucciones (UHJBLQVW) de 3 bits. Tabla 2 Modos de operación del contador %&RQWDGRU M1 M0 Función 0 0 Retener el valor actual 0 1 Cargar el dato D20:D0 1 0 Incrementar el contador en No usado Como se explicó anteriormente, para almacenar las direcciones de memoria se usa un contador binario de 21 bits. El contador se define con un proceso dentro de en un paquete que se encuentra en el archivo FQWDYKG

6 y dispone de 3 modos de funcionamiento. Los modos se seleccionan con las entradas 0 y 0. En la Tabla 2 se muestran los códigos de los distintos modos utilizados. Además se dispone de una entrada de puesta a cero (5((7) asincrónica. &LQFURQL]DGRUHV A los efectos de evitar posibles problemas de metaestabilidad en los flip-flops (Wakerly, 2000) o de carreras de transición (Clare, 1973) en la MEF, las entradas asincrónicas deben ser sincronizadas con el reloj del sistema. Para ello, mediante un proceso se define un sincronizador genérico en un paquete dentro del archivo VLQFYKG cuya estructura se muestra en la Fig. 9. Las únicas entradas de la MEF que requieren ser sincronizadas son,1'$72 y 0,B3/'. Reset Entrada asincrónica Reloj D Q Clk Q D Q Clk Q Fig. 9 Esquema de un sincronizador,9º17(, Salida sincronizada El proyecto se ha estructurado en base a varios paquetes que describen el comportamiento de cada una de las partes. Para la programación de los diversos componentes se siguieron los lineamientos dados en Bhasker (1995) y Skahill (199). En el archivo PDBHVWYKG se describe el funcionamiento de la máquina de estados, derivado directamente del diagrama de estados. El programa se estructura con 3 procesos, el primero para el estado presente, el segundo para la función de próximo estado y el último para la función de salida de la MEF. En el archivo PHPRULDYKG se definen las conexiones necesarias entre los componentes y se realizan las instancias de todos los componentes de la UMM. Por razones de espacio los listados en VHDL no se incluyen en el trabajo, pero pueden obtenerse en la página web del autor en la siguiente dirección URL: Se procedió a realizar la síntesis del proyecto usando el programa *DOD[\ que viene con el paquete de programas denominado :DUS provisto por Cypress Semiconductor Corp. Para validar el diseño se realizaron simulaciones de la máquina de estados y de la UMM usando la herramienta $FWLYH9+'/ LP de Aldec Inc. en la Fig. 1. El diseño sólo ocupa un 23% de los recursos del CPLD, por lo que, de ser necesario, se podría utilizar un chip de menor capacidad y más bajo costo. Para realizar las pruebas del circuito se usó un microcontrolador 8705 de Motorola, en el que se ejecutaron programas desarrollados en lenguaje assembler. Dichos programas se probaron en un sistema de desarrollo para microcontroladores Motorola modelo HDS200, el cual permite la emulación en tiempo real de los mismos. Se desarrollaron dos programas, uno con pruebas sencillas de lectura y escritura y otro más complejo en el que se escribe toda la memoria SRAM con un patrón de datos, los que luego, al ser leídos, se verifica si son correctos. Los resultados obtenidos en las pruebas han sido totalmente satisfactorios. 9,&21&/8,21( En este trabajo se ha desarrollado una Unidad de Manejo de Memoria en lenguaje VHDL. Dada la flexibilidad que proporciona dicho lenguaje, este diseño puede ser muy fácilmente adaptado a cualquier aplicación similar con un microcontrolador de bajo costo que disponga de un puerto paralelo bidireccional. La UMM puede ser utilizada para implementar un sistema de adquisición y procesamiento de datos autónomo, ya que al utilizar tecnología CMOS es de muy bajo consumo y todo el diseño requiere de un solo chip. Otro aspecto a destacar, es que con el uso de herramientas de diseño avanzadas y dispositivos de última generación, se facilita en gran medida el desarrollo de circuitos de bastante complejidad como el descrito. El diseñador sólo se tiene que concentrar en los aspectos funcionales del diseño, siendo totalmente transparente para él la parte tediosa de la síntesis, como es la minimización de funciones lógicas complejas o la asignación de estados. 5()(5(1&,$ Clare, C.R., 'HVLJQLQJ/RJLF\VWHPV8VLQJWDWH0D FKLQHV, McGraw-Hill Book Company, USA (1973). Bhasker, J., $9+'/3ULPHU, Prentice Hall, New Jersey, (1995). Katz, R.H., &RQWHPSRUDU\ /RJLF 'HVLJQ, The Benjamin/Cummings Publishing Co. Inc, California (1994). Mange, D., 0LFURSURJUDPPHG \VWHPV, Chapman & Hall, London (1992). Skahill, K., 9+'/ IRU 3URJUDPPDEOH/RJLF, Addison- Wesley, California (199). Wakerly, J.F., 'LJLWDO'HVLJQ3ULQFLSOHVDQG3UDFWLFHV, 3 rd Edition, Prentice Hall, New Jersey (2000). 95($/,=$&,¾1<358(%$ Una vez terminada la síntesis, se programó un CPLD de la familia CY3725 montado en un kit de desarrollo provisto por Cypress y se construyó el circuito mostrado

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

Ing. Jose Luis Apaza Gutierrez MEMORIAS. Un elemento de memoria es aquel elemento capaz de almacenar un estado durante un tiempo determinado.

Ing. Jose Luis Apaza Gutierrez MEMORIAS. Un elemento de memoria es aquel elemento capaz de almacenar un estado durante un tiempo determinado. LABORATORIO # 9 Realización: MEMORIAS 1. OBJETIVOS Comprender la función del bus de datos, direcciones y las líneas de control lectura(read), escritura(write) y selección de chip (CS) en una menoria RAM.

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE 1 SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE tiempo de acceso RAM, algunas veces se usa cerrojo en el Juan Manuel Narváez Sánchez, Carlos Andrés Moreno Tenjica, Estudent Member IEEE

Más detalles

Estructura del Computador

Estructura del Computador Estructura del Computador 1 definiciones preliminares Estructura: es la forma en que los componentes están interrelacionados Función: la operación de cada componente individual como parte de la estructura.

Más detalles

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014.

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014. Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014 Práctica #3 1) Qué es un latch? Qué es un flip-flop? 2) Si se aplican

Más detalles

Qué es un programa informático?

Qué es un programa informático? Qué es un programa informático? Un programa informático es una serie de comandos ejecutados por el equipo. Sin embargo, el equipo sólo es capaz de procesar elementos binarios, es decir, una serie de 0s

Más detalles

&217$'25(6',*,7$/(6. Figura 1.

&217$'25(6',*,7$/(6. Figura 1. &217$'25(6',*,7$/(6 En casi todos los tipos de equipo digital se encuentran flip-flops programados o conectados como contadores, usándose no solamente como contadores sino como equipo para dar la secuencia

Más detalles

CICLOS DEL PROCESADOR

CICLOS DEL PROCESADOR UNIDAD DE CONTROL CICLOS DEL PROCESADOR Qué es un ciclo de búsqueda? Para qué sirve estudiar los ciclos de instrucción de una CPU? Para comprender el funcionamiento de la ejecución de instrucciones del

Más detalles

MICROPROCESADORES I ELECTRONICA DIGITAL III INTERFASE PROGRAMABLE A PERIFERICOS PPI 8255

MICROPROCESADORES I ELECTRONICA DIGITAL III INTERFASE PROGRAMABLE A PERIFERICOS PPI 8255 MICROPROCESADORES I ELECTRONICA DIGITAL III INTERFASE PROGRAMABLE A PERIFERICOS PPI 8255 INTRODUCCIÓN Este integrado de la firma INTEL, conocido como PPI 8255 (Programmable Peripherical Interfase) realizado

Más detalles

Ejercicios del tema 4. El procesador

Ejercicios del tema 4. El procesador jercicios del tema 4. l procesador jercicio 1. Considere un procesador de 32 bits con una frecuencia de reloj de 500 MHz con la estructura del mostrado en el jercicio 3. La memoria se direcciona por bytes

Más detalles

ENIAC, Primer computador electrónico y su panel de conexiones

ENIAC, Primer computador electrónico y su panel de conexiones -1 La arquitectura de Von Neumann. Los primeros computadores se programaban en realidad recableándolos. Esto prácticamente equivalía a reconstruir todo el computador cuando se requería de un nuevo programa.

Más detalles

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Lógica Secuencial Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes secuenciales Contienen elementos de memoria Los valores de sus salidas dependen de los valores en sus

Más detalles

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER ENTREGA: 26/11/2010 1 INTRODUCCIÓN La tecnología es cada vez más sencilla de

Más detalles

Introducción a la arquitectura de computadores

Introducción a la arquitectura de computadores Introducción a la arquitectura de computadores Departamento de Arquitectura de Computadores Arquitectura de computadores Se refiere a los atributos visibles por el programador que trabaja en lenguaje máquina

Más detalles

Práctica 5. Generadores de Señales de Reloj y Flip-flops

Práctica 5. Generadores de Señales de Reloj y Flip-flops 5.1 Objetivo Práctica 5 Generadores de Señales de Reloj y Flip-flops El alumno conocerá y comprobará el funcionamiento de dispositivos empleados en la lógica secuencial y dispositivos con memoria basados

Más detalles

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó Lógica Digital Circuitos Secuenciales - Parte I Francisco García Eijó Organización del Computador I Departamento de Computación - FCEyN UBA 7 de Septiembre del 2010 Agenda 1 Repaso 2 Multimedia Logic 3

Más detalles

Tema: Microprocesadores

Tema: Microprocesadores Universidad Nacional de Ingeniería Arquitectura de Maquinas I Unidad I: Introducción a los Microprocesadores y Microcontroladores. Tema: Microprocesadores Arq. de Computadora I Ing. Carlos Ortega H. 1

Más detalles

Mapas de Memoria y E/S

Mapas de Memoria y E/S Mapas de Memoria y E/S Sistemas con Microprocesadores http://www.herrera.unt.edu.ar/procesadores Conexiones internas del CPU08 Dentro del mc el CPU08 se vincula con memoria y con los dispositivos de E/S

Más detalles

Tema 2 Introducción a la Programación en C.

Tema 2 Introducción a la Programación en C. Tema 2 Introducción a la Programación en C. Contenidos 1. Conceptos Básicos 1.1 Definiciones. 1.2 El Proceso de Desarrollo de Software. 2. Lenguajes de Programación. 2.1 Definición y Tipos de Lenguajes

Más detalles

SISTEMAS OPERATIVOS Arquitectura de computadores

SISTEMAS OPERATIVOS Arquitectura de computadores SISTEMAS OPERATIVOS Arquitectura de computadores Erwin Meza Vega emezav@unicauca.edu.co Esta presentación tiene por objetivo mostrar los conceptos generales de la arquitectura de los computadores, necesarios

Más detalles

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos INDICE Parte I. La computadora digital: organización, operaciones, periféricos, lenguajes y sistemas operativos 1 Capitulo 1. La computadora digital 1.1. Introducción 3 1.2. Aplicaciones de las computadoras

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

PLAN DE MATERIAS ACADEMUSOFT 3.2

PLAN DE MATERIAS ACADEMUSOFT 3.2 FACULTAD DE: _Ingenierías y Tecnológicas PROGRAMA DE: Ingeniería de Sistemas NOMBRE DE LA MATERIA: ARQUITECTURA DEL COMPUTADOR Semestre: _SEXTO Código: _EL427SA No de Créditos 3 H. Teórica: 3 H. Práctica

Más detalles

FUNCIONAMIENTO DEL ORDENADOR

FUNCIONAMIENTO DEL ORDENADOR FUNCIONAMIENTO DEL ORDENADOR COMPUTACIÓN E INFORMÁTICA Datos de entrada Dispositivos de Entrada ORDENADOR PROGRAMA Datos de salida Dispositivos de Salida LOS ORDENADORES FUNCIONAN CON PROGRAMAS Los ordenadores

Más detalles

MICROCONTROLADORES PIC

MICROCONTROLADORES PIC MICROCONTROLADORES PIC LOS TIMER DE LOS 16F87x TEMA EL TIMER 1 CCFF D.P.E. MÓDULO DE PROYECTOS 1 Diagrama de Bloques del TIMER1 CCFF D.P.E. MÓDULO DE PROYECTOS 2 INTRODUCCIÓN El módulo TIMER1 es un temporizador/contador

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas MARCO TEORICO. Estructura Interna

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas MARCO TEORICO. Estructura Interna AUTOMATIZACION GUIA DE TRABAJO 3 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III APUNTE DEL 8155 ELECTRÓNICA DIGITAL III Revisión 1.1 Marzo, 2011 Interfaz a periférico 8155 Descripción general El chip 8155 es un dispositivo introducido por Intel en 1977. Contiene memoria RAM (SRAM)

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

UNIDAD 3 ARQUITECTURA DEL Z80. Microprocesadores Otoño 2011

UNIDAD 3 ARQUITECTURA DEL Z80. Microprocesadores Otoño 2011 1 UNIDAD 3 ARQUITECTURA DEL Z80 Microprocesadores Otoño 2011 Contenido 2 Arquitectura interna del Z-80 Interface Ciclos de máquina y temporización del bus de comunicación. Conjunto de Instrucciones Arquitectura

Más detalles

ESTRUCTURA FÍSICA DEL µp 8086

ESTRUCTURA FÍSICA DEL µp 8086 Características generales: Procesador de 16 bits Bus de direcciones de 20 bits : 1 Mbyte Bus de datos interno de 16 bits Bus de datos externo de 16 bits en el 8086 8 bits en el 8088 89 instrucciones Alimentación

Más detalles

TEMA II: ALMACENAMIENTO DE LA INFORMACIÓN

TEMA II: ALMACENAMIENTO DE LA INFORMACIÓN CUESTIONES A TRATAR: Existe un tipo único tipo de memoria en un determinado computador? Todas las memorias de un computador tienen la misma función?. Qué es la memoria interna de un computador? Por qué

Más detalles

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik Objetivos Objetivos Arquitecturas von Neumann Otras Unidad Central de Procesamiento (CPU) Responsabilidades Requisitos Partes de una CPU ALU Control & Decode Registros Electrónica y buses 2 Índice Capítulo

Más detalles

6. Entrada y Salida Explicación de la interfaz entre el computador y el mundo exterior.

6. Entrada y Salida Explicación de la interfaz entre el computador y el mundo exterior. 6. Entrada y Salida Explicación de la interfaz entre el computador y el mundo exterior. 6.1. El subsistema de E/S Qué es E/S en un sistema computador? Aspectos en el diseño del subsistema de E/S: localización

Más detalles

TEMA 8. REGISTROS Y CONTADORES.

TEMA 8. REGISTROS Y CONTADORES. TEMA 8. REGISTROS Y CONTADORES. TECNOLOGÍA DE COMPUTADORES. CURSO 2007/08 8.1. Registros. Tipos de registros. Registros de desplazamiento. Los registros son circuitos secuenciales capaces de almacenar

Más detalles

La Máquina de Acceso Aleatorio (Random Access Machine)

La Máquina de Acceso Aleatorio (Random Access Machine) La Máquina de Acceso Aleatorio (Random Access Machine) Nuestro modelo de cómputo secuencial es la máquina de acceso aleatorio (RAM, Random Access Machine) mostrada en la Figura 2.1, y que consiste de:

Más detalles

Mapeo en el P 8086 de Intel

Mapeo en el P 8086 de Intel Mapeo en el P 8086 de Intel Ing. Silvia Domizi Ing. Diego Alegrecci Mapeo Microprocesador 8086 1 Introducción Mapeo Microprocesador 8086 2 Mapeo Mapear un dispositivo, es asignarle un intervalo definido

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008 Introducción a los Sistemas Lógicos y Digitales 2008 Métodos de análisis: Tabla de verdad. Heurístico. Diagramas de estado. Simulación. Test del hardware. etc... Ejemplo de análisis heurístico (planteo

Más detalles

Introducción a BMP085 Versión 1.0

Introducción a BMP085 Versión 1.0 1. [ BMP085 - Barómetro ] El kit incluye el sensor de presión y temperatura BMP085 de la marca Bosch, el mismo es normalmente utilizado en plataformas electrónicas como Arduino, por lo que fácilmente se

Más detalles

Recopilación presentada por 1

Recopilación presentada por 1 Aula Aula de de Informática Informática del del Centro Centro de de Participación Participación Activa Activa para para Personas Personas Mayores Mayores de de El El Ejido Ejido (Almería). (Almería). Consejería

Más detalles

PCF8574 EXPANSOR REMOTO 8-BIT I/O PARA I²C-BUS

PCF8574 EXPANSOR REMOTO 8-BIT I/O PARA I²C-BUS PCF8574 EXPANSOR REMOTO 8-BIT I/O PARA I²C-BUS 1. CARACTERISTCAS Suministro de voltaje de funcionamiento 2.5 a 6 V Bajo consumo de corriente de espera (standby) de 10 ma máximo. Expansor I²C a puerto paralelo.

Más detalles

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores Electrónica Tema 6 Circuitos Secuenciales Tema 1 Fundamentos de semiconductores 1 Lógica secuencial Un circuito secuencial es aquel cuyas salidas dependen no sólo de las entradas actuales, sino también

Más detalles

MEMORIA EJERCICIO 1 EJERCICIO 2

MEMORIA EJERCICIO 1 EJERCICIO 2 MEMORIA EJERCICIO 1 Determinar el mapa de memoria de un procesador con 16 señales de bus de direcciones, una señal de asentimiento de bus de direcciones AS, una señal de lectura R, otra de escritura W

Más detalles

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI

UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI UNIVERSIDAD DEL VALLE DE MÉXICO PROGRAMA DE ESTUDIO DE LICENCIATURA PRAXIS MES XXI NOMBRE DE LA ASIGNATURA: ARQUITECTURA DE COMPUTADORAS FECHA DE ELABORACIÓN: ENERO 2005 ÁREA DEL PLAN DE ESTUDIOS: AS (

Más detalles

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática Tema 1 Introducción. Arquitectura básica y Sistemas Operativos Fundamentos de Informática Índice Descripción de un ordenador Concepto básico de Sistema Operativo Codificación de la información 2 1 Descripción

Más detalles

Sistemas Electrónicos Digitales. Práctica 2 Implementación de un filtro FIR basado en estructura MAC

Sistemas Electrónicos Digitales. Práctica 2 Implementación de un filtro FIR basado en estructura MAC Sistemas Electrónicos igitales 2 o Ing. Telecomunicación Práctica 2 Implementación de un filtro FIR basado en estructura MAC Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos

Más detalles

Lenguaje binario. Código ASCII. Medidas de la información

Lenguaje binario. Código ASCII. Medidas de la información Hardware y Software (1/5) Lenguaje binario Los ordenadores sólo entienden 1 y 0. Lógica positiva: 0: no hay señal 1: hay señal Código ASCII Medidas de la información Unidad mínima de información: BIT (0

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O Interfaz con Dispositivos I/O Interfaz con Dispositivos de Salida y Salida Unidad 4, Segunda Parte Port Mapped Memory mapped 1 2 Ejecución de la Instrucción OUT Ejecución de la instrucción OUT Dirección

Más detalles

PIC MICRO ESTUDIO Reloj en tiempo real RTCU2 Clave: 719 www.electronicaestudio.com

PIC MICRO ESTUDIO Reloj en tiempo real RTCU2 Clave: 719 www.electronicaestudio.com PIC MICRO ESTUDIO Reloj en tiempo real RTCU2 Clave: 719 www.electronicaestudio.com Guía de Operación Reloj en tiempo real Modulo: RTCU2iempo real Clave: 719 El modulo 719 Reloj en tiempo real- utiliza

Más detalles

EIE SISTEMAS DIGITALES Tema 8: Circuitos Secuenciales (Síntesis) Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 8: Circuitos Secuenciales (Síntesis) Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 8: Circuitos Secuenciales (Síntesis) Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Entender el concepto de Máquina de estados

Más detalles

Area Académica: Sistemas Computacionales. Tema: Elementos de diseño de memoria caché

Area Académica: Sistemas Computacionales. Tema: Elementos de diseño de memoria caché Area Académica: Sistemas Computacionales Tema: Elementos de diseño de memoria caché Profesor: Raúl Hernández Palacios Periodo: 2011 Keywords: Memory, cache memory. Tema: Elementos de diseño de memoria

Más detalles

ESTRUCTURA BÁSICA DE UN ORDENADOR

ESTRUCTURA BÁSICA DE UN ORDENADOR ESTRUCTURA BÁSICA DE UN ORDENADOR QUÉ ES UN ORDENADOR? Un ordenador es una máquina... QUÉ ES UN ORDENADOR? Un ordenador es una máquina... QUÉ ES UN ORDENADOR? Un ordenador es una máquina... Qué son los

Más detalles

MICROCONTROLADORES PIC16F84 ING. RAÚL ROJAS REÁTEGUI

MICROCONTROLADORES PIC16F84 ING. RAÚL ROJAS REÁTEGUI MICROCONTROLADORES PIC16F84 ING. RAÚL ROJAS REÁTEGUI DEFINICIÓN Es un microcontrolador de Microchip Technology fabricado en tecnología CMOS, completamente estático es decir si el reloj se detiene los datos

Más detalles

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo.

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo. 1 Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. El texto está orientado a un primer curso de diseño lógico en programas de estudios de ingenieros eléctricos, electrónicos, telemáticos y de ciencias

Más detalles

Memoria. Organización de memorias estáticas.

Memoria. Organización de memorias estáticas. Memoria 1 Memoria Organización de memorias estáticas. 2 Memoria En memoria físicas con bus de datos sea bidireccional. 3 Memoria Decodificación en dos niveles. 4 Necesidad de cantidades ilimitadas de memoria

Más detalles

FICHA PÚBLICA DEL PROYECTO

FICHA PÚBLICA DEL PROYECTO NUMERO DE PROYECTO: 218824 EMPRESA BENEFICIADA: MICROCALLI DEL GOLFO S.A DE C.V TÍTULO DEL PROYECTO: LÍNEA DE PRODUCTOS DE SOFTWARE PARA DOMÓTICA OBJETIVO DEL PROYECTO: Incorporar el paradigma de LPS como

Más detalles

Nombre de la asignatura: Arquitectura de Computadoras. Créditos: Aportación al perfil

Nombre de la asignatura: Arquitectura de Computadoras. Créditos: Aportación al perfil Nombre de la asignatura: Arquitectura de Computadoras Créditos: Aportación al perfil Seleccionar y utilizar de manera óptima técnicas y herramientas computacionales actuales y emergentes. Identificar,

Más detalles

Principios de Computadoras II

Principios de Computadoras II Departamento de Ingeniería Electrónica y Computadoras Ing. Ricardo Coppo rcoppo@uns.edu.ar Qué es un Objeto? Un objeto es una instancia de una clase Las clases actuán como modelos que permiten la creación

Más detalles

(1) Unidad 1. Sistemas Digitales Basados en Microprocesador SISTEMAS BASADOS EN MICROPROCESADORES. Grado en Ingeniería Informática EPS - UAM

(1) Unidad 1. Sistemas Digitales Basados en Microprocesador SISTEMAS BASADOS EN MICROPROCESADORES. Grado en Ingeniería Informática EPS - UAM Unidad 1 Sistemas Digitales Basados en Microprocesador SISTEMAS BASADOS EN MICROPROCESADORES Grado en Ingeniería Informática EPS - UAM Índice 1. Sistemas digitales basados en microprocesador. 1.1. Arquitectura

Más detalles

Ejemplo básico de descripción VHDL

Ejemplo básico de descripción VHDL Ejemplo básico de descripción VHDL Describir en VHDL un circuito que multiplexe dos líneas (a y b) de un bit, a una sola línea (salida) también de un bit; la señal selec sirve para indicar que a la salida

Más detalles

3 - Arquitectura interna de un up

3 - Arquitectura interna de un up cei@upm.es 3 - Arquitectura interna un up Componentes básicos Lenguaje ensamblador y código máquina Ciclo básico ejecución una instrucción Algunos ejemplos Universidad Politécnica Madrid Componentes básicos

Más detalles

Registros y Contadores

Registros y Contadores Registros y Contadores Mario Medina C. mariomedina@udec.cl Registros Grupos de flip-flops con reloj común Almacenamiento de datos Desplazamiento de datos Construcción de contadores simples Como cada FF

Más detalles

Definición de Memoria

Definición de Memoria Arquitectura de Ordenadores Representación de Datos en Memoria Abelardo Pardo abel@it.uc3m.es Universidad Carlos III de Madrid Departamento de Ingeniería Telemática Definición de Memoria DRM-1 La memoria

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Aprender el uso de dispositivos controlados por una FPGA en una tarjeta de desarrollo. Conocer la interfaz PS/2, el protocolo de comunicación y

Más detalles

PROCESADORES. Existen 3 tipos de procesadores: DE GALLETA, DE PINES Y DE CONTACTO. DE GALLETA: se utilizaban en las board en los años 80 y 90.

PROCESADORES. Existen 3 tipos de procesadores: DE GALLETA, DE PINES Y DE CONTACTO. DE GALLETA: se utilizaban en las board en los años 80 y 90. PROCESADORES TIPOS DE PROCESADORES. Existen 3 tipos de procesadores: DE GALLETA, DE PINES Y DE CONTACTO DE GALLETA: se utilizaban en las board en los años 80 y 90. DE PINES: reemplazaron los procesadores

Más detalles

1-Componentes Físicos y Lógicos de un Ordenador.

1-Componentes Físicos y Lógicos de un Ordenador. 1-Componentes Físicos y Lógicos de un Ordenador. En este capítulo trataremos de explicar el conjunto de elementos por lo que está compuesto un ordenador. A grandes rasgos un Ordenador Personal o PC ( Personal

Más detalles

CPU MEMORIAS CACHE. Memorias caché. Memoria caché = memoria de tamaño pequeño y acceso rápido situada entre la CPU y la memoria principal.

CPU MEMORIAS CACHE. Memorias caché. Memoria caché = memoria de tamaño pequeño y acceso rápido situada entre la CPU y la memoria principal. MEMORIAS CACHE Memoria caché = memoria de tamaño pequeño y acceso rápido situada entre la CPU y la memoria principal. Tiempo ciclo memoria > tiempo de ciclo del procesador la CPU debe esperar a la memoria

Más detalles

0-31 : caracteres de control : carac. Comunes : especiales (flechas, símbolos) y particulares (ñ)

0-31 : caracteres de control : carac. Comunes : especiales (flechas, símbolos) y particulares (ñ) Hardware y Software (1/6) Lenguaje binario Los ordenadores sólo entienden 1 y 0. Lógica positiva: 0: no hay señal 1: hay señal Código ASCII American Standard Code for Information Interchange Carácter =

Más detalles

Registros y contadores

Registros y contadores Universidad Rey Juan Carlos Registros y contadores Norberto Malpica norberto.malpica@urjc.es Ingeniería de Tecnologías Industriales Registros y contadores 1 Esquema 1. Concepto de registro. 2. Registros

Más detalles

3 SISTEMAS DE PROCESAMIENTO DIGITAL DE SEÑALES. ha desarrollado durante los últimos 30 años gracias a los avances tecnológicos de

3 SISTEMAS DE PROCESAMIENTO DIGITAL DE SEÑALES. ha desarrollado durante los últimos 30 años gracias a los avances tecnológicos de 3 SISTEMAS DE PROCESAMIENTO DIGITAL DE SEÑALES 3.1 Introducción al procesamiento digital de señales Una alternativa para el procesado analógico de señales es el procesado digital. Esta área se ha desarrollado

Más detalles

INTERFACE CON MEMORIA y E/S

INTERFACE CON MEMORIA y E/S Todos los sistemas con procesadores tienen tres canales o buses: Bus de direcciones que proporcionan dirección de memoria al numero de puerto de E/S. Bus de datos que transfiere información entre el procesador

Más detalles

USB232. Hoja de datos

USB232. Hoja de datos - 1 - USB232 Hoja de datos 9600, 19200, 38400, 57600, 115200 bps Interfaz USB serie RS232 Integración de tecnología USB Para sistemas con comunicación serie RS232 - 2 - USB232 Interfaz USB serie RS232

Más detalles

Diseño arquitectónico 1ª edición (2002)

Diseño arquitectónico 1ª edición (2002) Unidades temáticas de Ingeniería del Software Diseño arquitectónico 1ª edición (2002) Facultad de Informática objetivo Los sistemas grandes se descomponen en subsistemas que suministran un conjunto relacionado

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

Distinguir las diferentes estructuras de repetición utilizadas en problemas con bucles: mientras, repetir mientras, para.

Distinguir las diferentes estructuras de repetición utilizadas en problemas con bucles: mientras, repetir mientras, para. ESTRUCTURAS ITERATIVAS 1 ESTRUCTURAS ITERATIVAS OBJETIVOS Aprender a resolver problemas mediante la ejecución repetida de una secuencia de proposiciones llamados bucle o estructuras repetitivas o iterativas.

Más detalles

Conceptos de Arquitectura de Computadoras Curso 2015

Conceptos de Arquitectura de Computadoras Curso 2015 PRACTICA 1 Assembly, Instrucciones, Programas, Subrutinas y Simulador MSX88 Objetivos: que el alumno Domine las instrucciones básicas del lenguaje assembly del MSX88. Utilice los diferentes modos de direccionamiento.

Más detalles

Arquitectura de Computadores II Clase #7

Arquitectura de Computadores II Clase #7 Arquitectura de Computadores II Clase #7 Facultad de Ingeniería Universidad de la República Instituto de Computación Curso 2010 Veremos Memoria virtual 1 Recordemos: Jerarquía de Memoria Registros Instr.

Más detalles

REPÚBLICA BOLIVARIANA DE VENEZUELA UNIVERSIDAD BICENTENARIA DE ARAGUA SECRETARIA DIRECCIÓN DE ADMISIÓN Y CONTROL DE ESTUDIOS

REPÚBLICA BOLIVARIANA DE VENEZUELA UNIVERSIDAD BICENTENARIA DE ARAGUA SECRETARIA DIRECCIÓN DE ADMISIÓN Y CONTROL DE ESTUDIOS REPÚBLICA BOLIVARIANA DE VENEZUELA UNIVERSIDAD BICENTENARIA DE ARAGUA SECRETARIA DIRECCIÓN DE ADMISIÓN Y CONTROL DE ESTUDIOS Carrera: Ingeniería Eléctrica Semestre: IX Unidad Curricular: Diseño Digital

Más detalles

Guía rápida para gestionar el puerto paralelo del PC

Guía rápida para gestionar el puerto paralelo del PC Guía rápida para gestionar el puerto paralelo del PC Descarga desde: http://eii.unex.es/profesores/jisuarez/descargas/ip/guia_rapida_pp.pdf José Ignacio Suárez Marcelo Universidad de Extremadura Escuela

Más detalles

Unidad I: Organización del Computador. Ing. Marglorie Colina

Unidad I: Organización del Computador. Ing. Marglorie Colina Unidad I: Organización del Computador Ing. Marglorie Colina Arquitectura del Computador Atributos de un sistema que son visibles a un programador (Conjunto de Instrucciones, Cantidad de bits para representar

Más detalles

Soluciones a los problemas impares. Tema 5. Memorias. Estructura de Computadores. I. T. Informática de Gestión / Sistemas

Soluciones a los problemas impares. Tema 5. Memorias. Estructura de Computadores. I. T. Informática de Gestión / Sistemas Tema 5. Soluciones a los problemas impares Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 28-29 Tema 5 Hoja: 2 / 36 Tema 5 Hoja: 3 / 36 Base teórica La memoria es el lugar en

Más detalles

Desde los programas más simples escritos en un lenguaje de programación suelen realizar tres tareas en forma secuencial.

Desde los programas más simples escritos en un lenguaje de programación suelen realizar tres tareas en forma secuencial. Tipos de Datos Desde los programas más simples escritos en un lenguaje de programación suelen realizar tres tareas en forma secuencial. Entrada de datos Procesamientos de datos Salida de resultados Los

Más detalles

Procesador Concepto Tipos Velocidad de proceso Características funciones aritmético- lógicas y de control

Procesador Concepto Tipos Velocidad de proceso Características funciones aritmético- lógicas y de control Tecnologías de Hardware Puntos a Desarrollar Procesador Concepto Tipos Velocidad de proceso Características funciones aritmético- lógicas y de control Memoria Principal Memoria RAM Concepto Características

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA Escuela Académico Profesional de Ingeniería de Telecomunicaciones SILABO ASIGNATURA : SISTEMAS DIGITALES I CÓDIGO:8F0056

Más detalles

PicController. Manual de usuario. Raquel Sánchez Díaz

PicController. Manual de usuario. Raquel Sánchez Díaz PicController Manual de usuario Raquel Sánchez Díaz Tabla de Contenidos 1. INTRODUCCIÓN...1 2. DESCRIPCIÓN DE LA VENTANA PRINCIPAL...1 2.1. MENÚ CONFIGURACIÓN...2 2.2. MENÚ PUERTO SERIE...2 2.3. MENÚ

Más detalles

CAPITULO 1 INTRODUCCION AL PROYECTO

CAPITULO 1 INTRODUCCION AL PROYECTO CAPITULO 1 INTRODUCCION AL PROYECTO 1 INTRODUCCION AL PROYECTO 1.1 Marco Teórico Los procesadores digitales de señales ganaron popularidad en los años sesentas con la introducción de la tecnología de estado

Más detalles

GESTIÓN DE ARCHIVOS. Presentado por: Alba Arosemena Alma Vargas Hospicia Mendoza Roselvira Fernández Ahías Arosemena

GESTIÓN DE ARCHIVOS. Presentado por: Alba Arosemena Alma Vargas Hospicia Mendoza Roselvira Fernández Ahías Arosemena GESTIÓN DE ARCHIVOS Presentado por: Alba Arosemena Alma Vargas Hospicia Mendoza Roselvira Fernández Ahías Arosemena INTRODUCCIÓN En la mayoría de las aplicaciones, el archivo es el elemento central. La

Más detalles

MICROPROCESADORES PARTES DE UN MICROPROCESADOR

MICROPROCESADORES PARTES DE UN MICROPROCESADOR PARTES DE UN MICROPROCESADOR Un Microprocesador es una unidad central de proceso de propósito general (CPU ó CENTRAL PROCESSING UNIT) que esta dentro de un solo Circuito Integrado ó Chip. Las partes que

Más detalles

PA JOSÉ MANUEL BURBANO CARVAJAL

PA JOSÉ MANUEL BURBANO CARVAJAL PA121-01 SISTEMA DE GESTIÓN DEL CONOCIMIENTO PARA LA DEFINICIÓN DE ESTRATEGIAS QUE EVITEN LA DESERCIÓN ESCOLAR EN LOS COLEGIOS DE MOCOA PUTUMAYO EN EL NIVEL DE EDUCACIÓN BÁSICA SECUNDARIA JOSÉ MANUEL BURBANO

Más detalles

Sist s em e a m s s O per e ativos o. s Unidad V Entrada Sali l d i a.

Sist s em e a m s s O per e ativos o. s Unidad V Entrada Sali l d i a. Sistemas Operativos. Unidad V Entrada Salida. Programación de Entrada y Salida Introducción. Comunicación de los procesos con el mundo externo : Mecanismo de E/S de información. Aspectos que diferencian

Más detalles

}Transparencias de clase en

}Transparencias de clase en Sistemas de memoria Conceptos básicos Jerarquía de memoria Memoria caché }Transparencias de clase en campusvirtual.udc.es Memoria principal Memoria virtual Memoria principal Antiguamente: Matriz de pequeños

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

GUIAS ÚNICAS DE LABORATORIO LÓGICA DE CONTROL AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO LÓGICA DE CONTROL AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO LÓGICA DE CONTROL AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS LOGICA DE CONTROL Introducción El proceso de diseño lógico

Más detalles