Describa verbalmente que macro-operaciones se están realizando en función de las señales de

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Describa verbalmente que macro-operaciones se están realizando en función de las señales de"

Transcripción

1 PELLIDOS, NOMRE: Problema. Las siguientes iguras representan una unidad de datos la carta SM de un sistema digital. (5.5puntos) XS Y W R DOWN ONT WT W R SS T Z W,R,Z W,R S W R R,W,W R,WT DOWN Z,W W R FIN (a) Describa ormalmente los componentes: ONT,,, T. (.5 puntos) (b) Realice la carta SM de datos. Describa verbalmente que macro-operaciones se están realizando en unción de las señales de selección S S. (.5 puntos) (d) En la carta SM alta la rama SS=, para dicha rama se quiere añadir una macrooperación, sin realizar ninguna modiicación en la ruta de datos ni en la descripción de los componentes. La nueva macrooperación hara lo siguiente: Si contienen el mismo valor ha que establecer. En caso contrario permanecen inalterados ambos registros. (.5 puntos)

2 PELLIDOS, NOMRE: Problema 2. La memoria de programa del S2 contiene los datos mostrados en la tabla. La primera ila de la tabla corresponde a la palabra $ de la memoria de programa. (4.5 puntos) (a) Rellene la tabla con las instrucciones correspondientes a los valores binarios indicados. (.5 puntos) (b) Indique que hace el programa obtenido en su conjunto. (.5 puntos) Realice un nuevo programa que calcule en el registro R la suma números impares menores de 2 maores de. (.5 puntos) Valor binario Instrucción

3 PELLIDOS, NOMRE: Solución: Problema (b): Problema (d): XS XS SS T, SS,ONT,ONT +T,T, T, T -T ONT ONT- ONT ONT- ONT +T FIN +T FIN, Problema : : Establece a cero los registro : Multiplica, el resultado queda en el : Transiere a Problema 2 - (a): LDI R,$FF MOV R,R :ST R,R SUI R, RZS F JMP F:STOP Problema 2 (b): Rellena la memoria con FF, (menos la palabra ) Problema 2 - : LDI R, LDI R, OTRO: DD R,R DDI R,2 PI R,2 RZS FIN JMP OTRO

4 Grado en Ingeniería Inormática - Ingeniería del Sotware Estructura de omputadores - Prueba - Mao de 22 pellidos, Nombre: Problema. En este problema se trabajará con un microprocesador de 24 líneas de dirección un bus de datos de 8 bits. Responda las cuestiones. (a) El mapa de memoria del procesador es de (Indique las unidades: Ki, Mi o Gi). (.5 puntos) S S 2- D D 7- ROM RM2 (b) El chip ROM tiene una capacidad de (Indique las unidades: Ki, Mi o Gi). (.5 puntos) El chip RM2 tiene una capacidad de (Indique las unidades: Ki, Mi o Gi). (.5 puntos) (d) Eplique con una sola rase la dierencia entre una memoria ROM una memoria RM. (e) () Realice un circuito con decodiicadores que ubique la memoria ROM en el rango de direcciones lógicas $4. a $7F.FFFF. omplete el circuito anterior añadiendo la memoria RM2 en el rango de direcciones $8. a $FF.FFFF. Problema 2. Utilizando la siguiente ruta de datos responda las siguientes cuestiones: RONT WONT U WMR WT ONT W R E MR R/W T W R 8 7- RM 8X8 D 7- S S a b 8 W R 8 WD RD SEL 8 D

5 (a) Describa ormalmente el contador ONT la LU asumiendo las siguientes uncionalidades: (a.) (a.2) Para el contador la señal WONT realiza escritura del mismo, la señal U lo incrementa, la señal lo establece a cero la señal E indica el inal de cuenta. (.5 puntos) La LU dispondrá de operación de suma, operación de resta operaciones de traspaso de datos. (.5 puntos) (b) Realice la una carta SM de un controlador que establezca a cero los registros,, D. (.5 puntos) Indique las modiicaciones necesarias en la unidad de datos para poder escribir cualquiera de los registros,, D en la memoria RM. Realice un ejemplo en una carta SM de control donde se realice la escritura de algún registro en la memoria RM. Problema 3. Indique la instrucción del S2 correspondiente a la siguiente secuencia de microoperaciones: iclo REG(IR-8) + IR7- V NOT REG(IR-8)(2 +,IR7-(2 ϵ [-2⁷, 2⁷-], REG(IR-8)((2 + IR7-(2 > 2⁸-, N REG(IR-8) + IR7- MOD 2⁸ > 2⁷-, Z REG(IR-8) + IR7- = MOD 2 2 REG(IR-8) W, OP3, INM, WS WREG, R RESPUEST: Problema 4. Indique el resultado inal de los registros tras la ejecución de cada uno de los siguientes programas: (a) Programa LDI R, LDI R,5 P R,R LT L LDI R,2 JMP END L: LDI R,3 END: STOP (b) Programa 2 LDI R,5 LDI R, : DD R,R SUI R, RZ END JMP END: STOP Rellene los valores inales en decimal Registro R Rellene los valores inales en decimal Registro R Registro R Registro R

6 pellidos, Nombre: Grado en Ingeniería Inormática - Ingeniería del Sotware Estructura de omputadores - Prueba - bril de 23 Problema. Deina brevemente los siguientes términos, en ámbito de la asignatura: (a) Memoria volátil memoria no volátil. (.5 puntos) (b) Tiempo de acceso a memoria. (.5 puntos) us de direcciones, bus de datos capacidad de una memoria. (.5 puntos) Problema 2. En la igura se muestra la interconeión de un microprocesador a un banco de memoria varios chips de memoria. Debe interpretar correctamente la igura responder a las siguientes cuestiones considerando que, sólo serán válidas las respuestas si se indican con las unidades Ki, Mi o Gi. r w S S Microprocesador 9- D 5- Memoria 7- D 5-6- D 5- ROM RM (a) El procesador es capaz de direccionar palabras de bits. (.5 puntos) (b) Si se indica en btes, el procesador admite una memoria máima de (.5 puntos) El chip ROM tiene una capacidad de (.25 puntos) (d) El chip RM2 tiene una capacidad de (.25 puntos) (e) () Realice un circuito con decodiicadores que ubique correctamente 4 chips de RM 2 de ROM. Los chips deben ser los indicados en la igura. Indique en headecimal la dirección de inicio de cada uno de los 6 chips ubicados en el apartado anterior.

7 Problema 3. En la siguiente igura se muestran 3 rutas de datos dierentes para la interconeión de 4 registros una LU. R W R W R W OP OP R W WT T z R W R W R W OP OP R W z W N N W OP OP z W M M W (a) Ruta Ruta 2 Ruta 3 Para cada una de las rutas enumere los dierentes buses eistentes indicando el tipo de bus. (b) Describa ormalmente los siguientes componentes: (b.) La LU asumiendo las siguientes 4 operaciones: suma, resta, transerencia de la entrada e incremento en uno de la entrada. (.5 puntos) (b.2) El registro para cada una de las rutas, es decir, debe realizar 3 descripciones dierentes e indicar cada una de ella a que ruta de datos pertenece. (.5 puntos) Utilizando la Ruta de datos, realice la carta SM de datos de control de un controlador que realice la siguiente operación: ++. (d) Repita el apartado anterior utilizando la Ruta 2 de datos. (e) Repita el apartado anterior utilizando la Ruta 3 de datos.

8 Grado en Ingeniería Inormática - Ingeniería del Sotware Estructura de omputadores - Prueba - bril 24 pellidos, Nombre: Problema. El circuito de decodiicación de la igura se ha diseñado para un procesador con 6 líneas de dirección 8 bits en el bus de datos. Responda a las cuestiones planteadas. 5 4 DE DE RM RM2 RM3 RM4 (a) Indique las capacidades de todas las memorias usando las unidades Ki, Mi o Gi. (b) Obtenga el mapa de memoria, ubicando cada chip correctamente. Indique las direcciones de inicio in de cada chip. (.5 puntos) (d) Indicando las direcciones ísicas de las direcciones lógicas: $3, $7234,$54,$962,$D95. (.5 puntos) Problema 2. Dado el esquema de una unidad de datos la descripción de la LU, mostrados en la igura, responda las siguientes cuestiones: R W R W R W OP OP R W Z U/D EN ONT Z RONT WONT OP OP OP OP =+ =- = =+ (a) Describa a nivel RT los componentes: (a.) (a.2) El registro, considerando que dispone de las operaciones: lectura, escritura puesta a cero. El contador ONT considerando que dispone de las operaciones: escritura, lectura, incremento, decremento e inhibición. (b) Realice dos cartas SM (una de datos otra de control) para un controlador que realice la macro-operación + + (.5 puntos) Realice dos cartas SM (una de datos otra de control) para un controlador que realice la macro-operación (.5 puntos)

9 Grado en Ingeniería Inormática - Ingeniería del Sotware Estructura de omputadores - Prueba - 25 pellidos, Nombre: Problema. El circuito de decodiicación de la igura se ha diseñado para un procesador con 6 líneas de dirección 8 bits en el bus de datos. Responda a las cuestiones planteadas DE M?K8 DE - M2?K8 2- M3?K8 - M2?K8 (a) Indique las capacidades de todas las memorias usando las unidades Ki, Mi o Gi. (b) Obtenga el mapa de memoria, ubicando cada chip correctamente. Indique las direcciones de inicio in de cada chip. (.5 puntos) (d) Indique las direcciones ísicas de las direcciones lógicas: $34, $634,$752,$962,$D876. (.5 puntos) Problema 2. Dado el esquema de una unidad de datos la descripción de la LU, mostrada en la igura, responda las siguientes cuestiones: R W R W R2 W2 R3 W3 R R R2 R3 OP OP R W WT T Z P P P P = Z = (a) Describa a nivel RT los registros. (b) Realice dos cartas SM (una de datos otra de control) para un controlador que realice la macrooperación R R + R2 + R3. (.5 puntos) Realice dos cartas SM (una de datos otra de control) para un controlador que realice la resta: R R, tras la resta, si el resultado es cero copiará el valor de R2 a R, si el resultado no es cero copiará R3 a R. (.5 puntos) (d) Realice una carta SM de un nuevo controlador que inclua las de los 2 apartados anteriores. Este nuevo controlador tendrá una señal de entrada llamada OTON, si esta señal es se realizará la unción de la carta SM del apartado (b), si vale la del apartado.

Describa verbalmente que macro-operaciones se están realizando en función de las señales de

Describa verbalmente que macro-operaciones se están realizando en función de las señales de PELLIDOS, NOMRE: Problema. Las siguientes iguras representan una unidad de datos la carta SM de un sistema digital. (5.5puntos) XS CY WC RC DOWNC CONT WT W R SS T ZC WC,R,ZC W,RC S W R RC,W,W R,WT DOWNC

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE LOS COMPUTADORES II BOLETÍN 3 MEMORIAS SEMICONDUCTORAS

ESTRUCTURA Y TECNOLOGÍA DE LOS COMPUTADORES II BOLETÍN 3 MEMORIAS SEMICONDUCTORAS NOTA: En aquellos problemas donde no se indique lo contrario debe suponerse que el espacio de direccionamiento es de 64K. Problema 1.- Un sistema basado en un microprocesador dispone de 3 RAMs de 8K*8

Más detalles

Ejercicios del tema 4. El procesador

Ejercicios del tema 4. El procesador jercicios del tema 4. l procesador jercicio 1. Considere un procesador de 32 bits con una frecuencia de reloj de 500 MHz con la estructura del mostrado en el jercicio 3. La memoria se direcciona por bytes

Más detalles

TEMA 1: Conceptos generales. 1.- Representa los primeros 16 números decimales (del 0 al 15) usando codificación binaria y hexadecimal.

TEMA 1: Conceptos generales. 1.- Representa los primeros 16 números decimales (del 0 al 15) usando codificación binaria y hexadecimal. TEMA : Conceptos generales.- Representa los primeros 6 números decimales (del al 5) usando codificación binaria y hexadecimal. = 2 = $ 4 = 2 = $4 8 = 2 = $6 2 = 2 = $C = 2 = $ 5 = 2 = $5 9 = 2 = $9 3 =

Más detalles

Estructura de Computadores

Estructura de Computadores Estructura de Computadores El computador simple ------------------------------------------------------------------------- Autores: David Guerrero. Isabel Gómez Usted es libre de copiar, distribuir y comunicar

Más detalles

Nombre: Nº Matrícula:

Nombre: Nº Matrícula: UNIVERSIDD POLITÉCNIC DE MDRID Escuela Técnica Superior De Ingenieros Industriales Departamento De utomática, Ingeniería Electrónica E Informática Industrial DIVISIÓN DE INGENIERÍ ELECTRÓNIC (DIE) Nombre:

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Universidad de Alcalá Curso Académico 2014/2015 Curso 3º Cuatrimestre 1º Ejercicio 1 Se dispone de chips de EEPROM de 2Kx8. Realice la ampliación a 8Kx8 manteniendo una

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

MEMORIA EJERCICIO 1 EJERCICIO 2

MEMORIA EJERCICIO 1 EJERCICIO 2 MEMORIA EJERCICIO 1 Determinar el mapa de memoria de un procesador con 16 señales de bus de direcciones, una señal de asentimiento de bus de direcciones AS, una señal de lectura R, otra de escritura W

Más detalles

Microoperaciones a realizar en la implementación propuesta del CS2010

Microoperaciones a realizar en la implementación propuesta del CS2010 Microoperaciones a realizar en la implementación propuesta del CS2010 Autores: David Guerrero. Isabel Gómez Usted es libre de copiar, distribuir y comunicar públicamente la obra y de hacer obras derivadas

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES 1º I.T.I.G. I.T.I.S.

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES 1º I.T.I.G. I.T.I.S. ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES 1º I.T.I.G. I.T.I.S. Examen Final 2º cuatrimestre Nombre: SOLUCIÓN Madrid, 20 de junio de 2008. NOTAS: Duración: 90 minutos. Se puede hacer a lápiz. No se permiten

Más detalles

BOLETIN 7: Subsistemas secuenciales

BOLETIN 7: Subsistemas secuenciales BOLETIN 7: Subsistemas secuenciales Problemas básicos P. Realice el diagrama de estados de un C.S.S. que funcione como un contador módulo 4 ascendente/descendente en función de una entrada de control.

Más detalles

Examen de teoría (5 puntos)

Examen de teoría (5 puntos) Examen de Estructura de Computadores. Septiembre de 25 Bien: Mal: No contestadas: Examen de teoría (5 puntos) El examen constará de dos partes: El examen tendrá una duración total de 2 horas. La nota final

Más detalles

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 4

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 4 CIRCUITOS ELECTRÓNICOS DIGITALES GRADO EN INGENIERÍA INFORMÁTICA TECNOLOGÍAS INFORMÁTICAS BOLETÍN DE PROBLEMAS 4 1.- Indique cuántos bits son necesarios, como mínimo, para representar cada uno de los siguientes

Más detalles

FUNDAMENTOS DE COMPUTADORES

FUNDAMENTOS DE COMPUTADORES UNIVERSIDAD POLITÉCNICA DE MADRID ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA DE SISTEMAS INFORMÁTICOS FUNDAMENTOS DE COMPUTADORES Laboratorio 7: Contadores Guion de Práctica Juan José Cuervas-Mons Elvira Vicente

Más detalles

Arquitectura del Procesador I

Arquitectura del Procesador I Arquitectura del Procesador I PRACTICO Nº 2 Circuitos Secuenciales Ejercicio 21 (a): Se necesita crear un circuito que divida por 3 una frecuencia de reloj. Si consideramos que el funcionamiento de todo

Más detalles

APELLIDOS NOMBRE GRADO SOLUCIÓN. Figura 1

APELLIDOS NOMBRE GRADO SOLUCIÓN. Figura 1 DECODIFICADOR SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES 12 febrero de 2018 APELLIDOS NOMBRE GRADO SOLUCIÓN Ejercicio 1 (40 minutos 4 puntos) La siguiente figura muestra un diagrama de bloques simplificado

Más detalles

Tema 2 REALIZACIÓN DE SISTEMAS DIGITALES A NIVEL RT 1-0

Tema 2 REALIZACIÓN DE SISTEMAS DIGITALES A NIVEL RT 1-0 Tema 2 REALIZACIÓN DE SISTEMAS DIGITALES A NIVEL RT 1-0 Índice y bibliografía - Componentes y arquitectura. Circuitos frente a sistemas. - El nivel de transferencia entre registros (RT). - Técnicas de

Más detalles

Estructura de Computadores Ingeniería Técnica en Informática de Sistemas. BOLETIN 4: Memoria

Estructura de Computadores Ingeniería Técnica en Informática de Sistemas. BOLETIN 4: Memoria BOLTIN : Memoria Nota: n aquellos problemas donde no se indique lo contrario debe suponerse que el espacio de direccionamiento es de K. P. Un sistema basado en un microprocesador dispone de s de K* y una

Más detalles

Estructura de Computadores (EdC) Tema 3 El computador simple

Estructura de Computadores (EdC) Tema 3 El computador simple Estructura de Computadores (EdC) Tema 3 El computador simple ------------------------------------------------------------------------- utores originales: David Guerrero. Isabel Gómez Personalización para

Más detalles

Grado en Ingeniería Informática - Ingeniería del Software Estructura de Computadores - Prueba 2 - Junio de 2011

Grado en Ingeniería Informática - Ingeniería del Software Estructura de Computadores - Prueba 2 - Junio de 2011 Apellidos, Nombre: Grado en Ingeniería Informática - Ingeniería del Software Estructura de Computadores - Prueba 2 - Junio de 2011 Problema 1. Realice un programa que calcule la cantidad de números negativos

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

1.- Realizar la función f = Σ (1,2,3,6,7) con MUX de 1, de 2 y de 3 variables de control. Discutir el coste y dar la solución óptima razonable.

1.- Realizar la función f = Σ (1,2,3,6,7) con MUX de 1, de 2 y de 3 variables de control. Discutir el coste y dar la solución óptima razonable. Relación de Problemas.- Realizar la unción = Σ (,,,6,7) con MUX de, de y de variables de control. Discutir el coste y dar la solución óptima razonable..- Realizar con MUX- la unción = xx+ xxx+ 5 xxx+ xxx+

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

PRÁCTICA 2: SISTEMAS DIGITALES

PRÁCTICA 2: SISTEMAS DIGITALES 1 OBJETIVOS: PRÁCTICA 2: SISTEMAS DIGITALES 1.1 Manejo de un software de simulación 1.2 Desarrollo de un Sistema Digital sencillo con componentes reales. 2 ESTUDIO TEÓRICO: Se pretender realizar varios

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES II BOLETÍN 4 MICROPROCESADOR MC 68000

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES II BOLETÍN 4 MICROPROCESADOR MC 68000 1.- Partiendo de la siguiente situación inicial, donde se indica el contenido de ciertos registros y direcciones de memoria: Dirección Contenido Registro Contenido 800 08 A0 0000 0802 801 03 A1 0000 0804

Más detalles

CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID

CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID Guía de problemas: Aritmética de Computadores Parte 1: Operaciones básicas con lápiz y papel Problema 1: a)

Más detalles

Estructura de Computadores (II ISW) Boletín 1: Sistemas Digitales

Estructura de Computadores (II ISW) Boletín 1: Sistemas Digitales Estructura de Computadores (II ISW) Boletín 1: Sistemas Digitales Problema 1 Se dispone de cuatro registros con datos (R0, R1, R2 y R3) y una ALU, todo de n bits. Se desea diseñar un sistema que permita

Más detalles

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador:

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador: DISEÑO DE BLOQUES DE MEMORIA La ampliación de componentes es una característica del diseño y en el caso de las memorias tiene dos objetivos: Incrementar el tamaño de las palabras. Incrementar el número

Más detalles

Relación de Problemas I

Relación de Problemas I Relación de Problemas I 352) $1'5e6 52/'È1 $5$1'$ 1. Realizar el cálculo del tiempo que transcurre durante la ejecución del bloque de instrucciones sombreado, en función del contenido de los registros

Más detalles

Fundamentos de los Computadores Grado en Ingeniería Informática

Fundamentos de los Computadores Grado en Ingeniería Informática 3.1 Circuitos aritmético-lógicos Fundamentos de los Computadores Grado en Ingeniería Informática Introducción La realización de operaciones aritméticas y lógicas es una de las principales i razones de

Más detalles

PROBLEMAS DE ESTRUCTURA DE LOS COMPUTADORES MAPAS DE MEMORIA

PROBLEMAS DE ESTRUCTURA DE LOS COMPUTADORES MAPAS DE MEMORIA PROBLEMAS DE ESTRUCTURA DE LOS COMPUTADORES EJERCICIO : Una CPU cuenta con un ancho de palabra de 6 bits. Se quiere dotar a esa CPU de una memoria con las siguientes características: 256 Kpalabras (256

Más detalles

Test: Conteste exclusivamente en HOJA DE LECTURA ÓPTICA. No olvide marcar que su tipo de examen es C

Test: Conteste exclusivamente en HOJA DE LECTURA ÓPTICA. No olvide marcar que su tipo de examen es C MATERIAL PERMITIDO: los libros Estructura y tecnología de computadores y Problemas de estructura y tecnología de computadores, ed. Sanz y Torres, y calculadora. NO SE PERMITEN FOTOCOPIAS. INSTRUCCIONES:

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

LABORATORIO DE COMPUTADORAS

LABORATORIO DE COMPUTADORAS U.N.J.U. F.I. INGENIERÍA INFORMÁTICA LABORATORIO DE COMPUTADORAS ARQUITECTURA BÁSICA DE LAS COMPUTADORAS TEMA: ARQUITECTURA Y MICROPROGRAMACIÓN Conceptos Básicos Computadora Digital: implica que la información

Más detalles

Examen de teoría (5 puntos)

Examen de teoría (5 puntos) Bien: Mal: No contestadas: Examen de teoría (5 puntos) El examen tendrá una duración total de 2 horas. El examen constará de dos partes: teoría y problemas. La nota final será la suma de las dos partes

Más detalles

Examen de Fundamentos de Tecnología de Computadores. Junio 2010 Grado en Ingeniería Informática y Grado en Sistemas de Información

Examen de Fundamentos de Tecnología de Computadores. Junio 2010 Grado en Ingeniería Informática y Grado en Sistemas de Información Test 5 ptos. No se permite el uso de ningún tipo de documentación ni de calculadora Tiempo máximo Test 1h y 15 minutos. Responder en la hoja de soluciones Respuesta correcta 0,25 Incorrecta - 0,1 No contestada

Más detalles

BOLETIN 3: Análisis y diseño de circuitos combinacionales

BOLETIN 3: Análisis y diseño de circuitos combinacionales BOLETIN 3: Análisis diseño de circuitos combinacionales Problemas básicos P. Analice los siguientes circuitos combinacionales. Para ello, se deberá encontrar la unción algebraica que representan, su tabla

Más detalles

Sistemas Digitales. Ejercicios Tema 4. Circuitos Lógicos Secuenciales

Sistemas Digitales. Ejercicios Tema 4. Circuitos Lógicos Secuenciales Sistemas Digitales Ejercicios Tema 4. Circuitos Lógicos Secuenciales Ejercicio 1.- Los latches SR (elementos de memoria más simples) se completaban con un circuito lógico como el mostrado en la figura

Más detalles

Ejercicios del tema 4. El procesador

Ejercicios del tema 4. El procesador Ejercicios del tema 4. El procesador Estructura del procesador elemental WepSIM Address Bus Data Bus Control Bus C0 Ta Internal Bus RA RB RC LC MAR T9 Memory MRdy BE ADDR DATA R W A31-A0 BE3-BE0 D31-D0

Más detalles

Estructura de Computadores

Estructura de Computadores Estructura de Computadores El computador simple ------------------------------------------------------------------------- utores: David Guerrero. Isabel Gómez,lberto Molina Usted es libre de copiar, distribuir

Más detalles

CS2: Unidad de datos (UD)

CS2: Unidad de datos (UD) S2: Unidad de datos (UD) ambios respecto al S1: Ancho datos: 12 bits Ancho direcciones: bits Rotación del registro A con carry LS, DS, IS TP, TIR, TSP W4, W, R 4 SP[] MAR[] IR 7-0 IR[12] IR 11- P[] DB

Más detalles

Electrónica Digital. Universidad de Alcalá (02/07/2012)

Electrónica Digital. Universidad de Alcalá (02/07/2012) Electrónica igital Universidad de lcalá (02/07/2012) Í n d i c e Ejercicios del Tema 4... 2 uestión 1... 2 uestión 2... 3 uestión 3... 4 uestión 4... 5 uestión 5... 6 uestión 6... 7 uestión 7... 8 uestión

Más detalles

Índice. 1. Limitaciones de la calculadora simple 2. El Computador Simple 1 (CS1) 3. El Computador Simple 2 (CS2) 4. El Computador Simple CS2010

Índice. 1. Limitaciones de la calculadora simple 2. El Computador Simple 1 (CS1) 3. El Computador Simple 2 (CS2) 4. El Computador Simple CS2010 Índice 1. Limitaciones de la calculadora simple 2. El Computador Simple 1 (CS1) (concepto de Programa almacenado en memoria) 3. El Computador Simple 2 (CS2) (memoria de datos y memoria de programa). El

Más detalles

ESCUELA SUPERIOR POLITÉCNICA DEL LITORAL FACULTAD DE INGENIERIA MECANICA Y CIENCIAS DE LA PRODUCCIÓN SEGUNDA EVALUACIÓN DE ELECTRÓNICA

ESCUELA SUPERIOR POLITÉCNICA DEL LITORAL FACULTAD DE INGENIERIA MECANICA Y CIENCIAS DE LA PRODUCCIÓN SEGUNDA EVALUACIÓN DE ELECTRÓNICA ESCUELA SUPERIOR POLITÉCNICA DEL LITORAL FACULTAD DE INGENIERIA MECANICA Y CIENCIAS DE LA PRODUCCIÓN SEGUNDA EVALUACIÓN DE ELECTRÓNICA Alumno..: RUBRICA ABET Prof:M.Sc. Eduardo Mendieta..Fecha: 30/08/2010

Más detalles

Ejercicios del Tema 3. Fundamentos de la programación en ensamblador

Ejercicios del Tema 3. Fundamentos de la programación en ensamblador Ejercicios del Tema 3. Fundamentos de la programación en ensamblador Ejercicio 1. Escriba un programa en ensamblador del MIPS 32 para calcular la suma de los 100 primeros números naturales. El programa

Más detalles

Estructura y Tecnología de Computadores II (Gestión y Sistemas)

Estructura y Tecnología de Computadores II (Gestión y Sistemas) Temario Estructura y Tecnología de Computadores II (Gestión y Sistemas) Contenido del temario El temario propuesto en la asignatura coíncide con los siguientes apartados del texto base teórico Estructura

Más detalles

Documentación de apoyo al auto aprendizaje del MC68000

Documentación de apoyo al auto aprendizaje del MC68000 1. Introducción Documentación de apoyo al auto aprendizaje del MC68000 El presente documento contiene la información necesaria que permitirá conducir al estudiante al auto aprendizaje en varios aspectos

Más detalles

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 5

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 5 CIRCUITOS ELECTRÓNICOS DIGITALES GRADO EN INGENIERÍA INFORMÁTICA TECNOLOGÍAS INFORMÁTICAS BOLETÍN DE PROBLEMAS 5 P1.- Realice la función f= Σ(0,3,6) con los siguientes componentes: a) Utilizando un decodificador

Más detalles

Una Introducción a los Circuitos Digitales

Una Introducción a los Circuitos Digitales Una Introducción a los Circuitos Digitales Ing. Arturo Miguel de Priego Paz Soldán http://www.tourdigital.net amiguel@pucp.edu.pe Setiembre de 2014 Esta guía presenta el proceso de diseño digital a través

Más detalles

Arquitectura Interna del 8088

Arquitectura Interna del 8088 Arquitectura Interna del 8088 Intel diseñó el 8088/8086 para realizar al mismo tiempo las principales funciones internas de transferencia de datos y búsqueda de instrucciones. Para conseguir esto, el 8088

Más detalles

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI Sistemas Digitales TRABAJO PRÁCTICO Nº 4 Sistemas combinacionales MSI Ejercicio Nº 1: Se desea transmitir datos de dos bits con control de paridad impar. Utilice un decodificador para diseñar un circuito

Más detalles

Estructura de Computadores (EdC-ISW-G1) Boletín 4: Programación AVR

Estructura de Computadores (EdC-ISW-G1) Boletín 4: Programación AVR Estructura de Computadores (EdC-ISW-G1) 2017-18 Boletín 4: Programación AVR Problema 1 Sean A y B dos números sin signo de un byte, almacenados en las direcciones $0100 y $0101 respectivamente. Escriba

Más detalles

Mod. I, Unid. 1, Obj. 1 Criterio de Dominio 1/1

Mod. I, Unid. 1, Obj. 1 Criterio de Dominio 1/1 M.R. 333 VERSION 1 Prueba Integral 1/5 UNIVERSIDAD NACIONAL ABIERTA VICERRECTORADO ACADÉMICO ÁREA INGENIERIA MODELO DE RESPUESTA ASIGNATURA: ARQUITECTURA DEL COMPUTADOR CÓDIGO: 333 MOMENTO: PRUEBA INTEGRAL

Más detalles

SOLUCIÓN TIPO TEST 1 Y 2 (CORRECTA 0,5 PUNTOS, ERRÓNEA, -0,25 PUNTOS) TIPO TEST 3 Y 4 (CORRECTA 1,0 PUNTO, ERRÓNEA, -0,5 PUNTOS)

SOLUCIÓN TIPO TEST 1 Y 2 (CORRECTA 0,5 PUNTOS, ERRÓNEA, -0,25 PUNTOS) TIPO TEST 3 Y 4 (CORRECTA 1,0 PUNTO, ERRÓNEA, -0,5 PUNTOS) TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL. 16 JUNIO 2003 SOLUCIÓN TIPO TEST 1 Y 2 (CORRECTA 0,5 PUNTOS, ERRÓNEA, -0,25 PUNTOS) TIPO TEST 3 Y 4 (CORRECTA 1,0 PUNTO, ERRÓNEA, -0,5 PUNTOS)

Más detalles

Ejercicios del Tema 3. Fundamentos de la programación en ensamblador

Ejercicios del Tema 3. Fundamentos de la programación en ensamblador Ejercicios del Tema 3. Fundamentos de la programación en ensamblador Ejercicio 1. Dada la siguiente expresión de un lenguaje de alto nivel int a = 6; int b = 7; int c = 3; int d; d = (a+b) * (a+b); Indique

Más detalles

MÓDULO Nº7 REGISTROS Y CONTADORES

MÓDULO Nº7 REGISTROS Y CONTADORES MÓDULO Nº7 REGISTROS Y CONTADORES UNIDAD: LÓGICA SECUENCIAL TEMAS: Registros. Contadores. OBJETIVOS: Explicar que es un registro, su clasificación y sus principales características. Explicar que es un

Más detalles

Digital III. El Microprocesador i80c86

Digital III. El Microprocesador i80c86 Digital III El Microprocesador i80c86 Pinout del Microprocesador i80c86 ADDRESS / DATA Bus Oscilador a Cristal Decodificador de Instrucciones & Unidad de Control Bus de direcciones / Datos (Multiplexado)

Más detalles

ORGANIZACIÓN DE LA MEMORIA

ORGANIZACIÓN DE LA MEMORIA Existen dos tipos de memoria en todos los µc, memoria de programa y memoria de datos. La primera (EPROM, EEPROM, FLASH, etc) contiene los códigos de operación que se ejecutarán para seguir una secuencia

Más detalles

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER Departamento de Electrónica Electrónica Digital Mapas de memoria Bioingeniería Facultad de Ingeniería - UNER 3/5/23 Electrónica DigitalElectrónica Digital Direccionamiento de las memorias 3/5/23 Electrónica

Más detalles

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES Ejercicio PAU Septiembre 2010/2011 a) Rellenamos la tabla de la verdad colocando salidas 1 en las posiciones indicadas: Posición a b c d f 0 0 0

Más detalles

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL

UNIVERSIDAD DE CASTILLA LA MANCHA ESCUELA SUPERIOR DE INFORMÁTICA. CIUDAD REAL TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL. 30 ENERO 21 1º A (Superior) 1º B (Sistemas) 1º C (Gestión) SOLUCIONES 1.- Realiza los siguientes cambios de base, poniendo en todos los casos

Más detalles

Práctica de Problemas N o 3

Práctica de Problemas N o 3 Práctica de Problemas N o 3 Registros y Lógica MSI Ejercicio 1 Se desean comparar dos palabras de 4 bits a = a 3 a 2 a 1 a 0 b = b 3 b 2 b 1 b 0 Diseñe un circuito combinacional que produzca una salida

Más detalles

Soluciones a los problemas impares. Tema 5. Memorias. Estructura de Computadores. I. T. Informática de Gestión / Sistemas

Soluciones a los problemas impares. Tema 5. Memorias. Estructura de Computadores. I. T. Informática de Gestión / Sistemas Tema 5. Soluciones a los problemas impares Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 28-29 Tema 5 Hoja: 2 / 36 Tema 5 Hoja: 3 / 36 Base teórica La memoria es el lugar en

Más detalles

Arquitectura de Computadores II Clase #4

Arquitectura de Computadores II Clase #4 Clase #4 Facultad de Ingeniería Universidad de la República Instituto de Computación Curso 2010 Contenido Unidad de control Control cableado Control microprogramado MIC-1 La Unidad de Control La instrucción

Más detalles

Arquitectura de Computadores II Clase #4

Arquitectura de Computadores II Clase #4 Clase #4 Facultad de Ingeniería Universidad de la República Instituto de Computación Curso 2010 Contenido Unidad de control Control cableado Control microprogramado MIC-1 1 La Unidad de Control La instrucción

Más detalles

Ejercicios. Arquitectura de Computadoras. José Garzía

Ejercicios. Arquitectura de Computadoras. José Garzía jercicios de rquitectura de Computadoras José Garzía n la figura se representa el diagrama de flujo de un algoritmo. B X Y +B í + 7=? No B B+ C +B Los registros, B y C tienen una longitud de 8 bits. 7

Más detalles

MAQUINA RUDIMENTARIA MAQUINA RUDIMENTARIA UN COMPUTADOR PEDAGÓGICO

MAQUINA RUDIMENTARIA MAQUINA RUDIMENTARIA UN COMPUTADOR PEDAGÓGICO MAQINA RDIMENTARIA N OMTADOR EDAGÓGIO Enrique astor Llorens Dept de Arquitectura de omputadores Visión General de la resentación Introducción al omputador edagógico MAQINA RDIMENTARIA Desarrollado por

Más detalles

Memorias de Semiconductor. Departamento de Electrónica Curso 2010/11

Memorias de Semiconductor. Departamento de Electrónica Curso 2010/11 s de Semiconductor Curso 2010/11 Índice Introducción Clasificación de las memorias El chip de memoria Estructura interna de una memoria Cronogramas de acceso s RAM estáticas s RAM dinámicas s ROM s PROM

Más detalles

P1.0,... P1.7 7 EN3 EN2

P1.0,... P1.7 7 EN3 EN2 Ing. O. Richer Microprocesadores I Práctica #7 Manejo de un Display Trabajo en grupo. Conecte al microcontrolador 8051 un display formado por 5 dígitos a base de LED s de siete segmentos, como se muestra

Más detalles

Informática. Informática = Hardware + Software. Hardware + Software. Hardware = Parte física. Software = Parte lógica

Informática. Informática = Hardware + Software. Hardware + Software. Hardware = Parte física. Software = Parte lógica Informática Hardware + Software Informática = Hardware + Software Hardware = Parte física Software = Parte lógica 1 Hardware ELEMENTOS DE UN ORDENADOR CPU (microprocesador) Unidad aritmético-lógica Unidad

Más detalles

Bus de direcciones. Bus de datos

Bus de direcciones. Bus de datos 1) INTRODUCCIÓN A LA MÁQUINA SENCILLA La máquina sencilla (MS) es un computador concebido para fines exclusivamente didácticos. MS sólo dispone de dos bloques: Unidad Central de Proceso y memoria principal.

Más detalles

Guía de ejercicios # 8 Flags y Saltos

Guía de ejercicios # 8 Flags y Saltos Guía de ejercicios # 8 Flags y Saltos Organización de Computadoras 2018 UNQ Los objetivos de esta práctica son: Comprender qué son y para qué se utilizan los Flags. Conocer qué operaciones modifican los

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Relación de Problemas de Circuitos Secuenciales

Relación de Problemas de Circuitos Secuenciales Escuela Técnica de Ingenieros en Informática de Sistemas Sistemas Electrónicos Digitales Relación de Problemas de Circuitos Secuenciales 1.- Dado el circuito secuencial síncrono de la figura: a.- Trace

Más detalles

RELACIÓN DE PROBLEMAS DE LÓGICA PROGRAMADA (TEMA 4)

RELACIÓN DE PROBLEMAS DE LÓGICA PROGRAMADA (TEMA 4) RELACIÓN DE PROBLEMAS DE LÓGICA PROGRAMADA (TEMA 4) 1) Se ha escrito el programa adjunto en lenguaje ensamblador del microprocesador 8085. Sabiendo que se encuentra almacenado a partir de la posición 2000H

Más detalles

Problemas de estructura y tecnología de computadores Hoja 6: Temas 12, 13 y 14

Problemas de estructura y tecnología de computadores Hoja 6: Temas 12, 13 y 14 Problemas de estructura y tecnología de computadores Hoja 6: Temas 12, 13 y 14 1) Diseñar una memoria de 640 Kbytes (512Kbytes de RAM y 128 Kbytes de ROM) accesible a nivel de byte, empleando chips de

Más detalles

2. Números naturales: sistema de numeración y operaciones básicas

2. Números naturales: sistema de numeración y operaciones básicas INTRODUCCIÓN A LOS COMPUTADORES 2003-2004 Objetivos Formativos Que el alumno sea capaz de: Comprender el funcionamiento y saber diseñar los circuitos digitales combinacionales y secuenciales que se utilizan

Más detalles

Examen de teoría (5 puntos)

Examen de teoría (5 puntos) Examen de Estructura de Computadores. 4 de Septiembre de 24 Bien: Mal: No contestadas: Examen de teoría (5 puntos) El examen constará de dos partes: ª parte: Test, con una puntuación de 5 puntos y 3 minutos

Más detalles

Arquitectura de Computadoras

Arquitectura de Computadoras Arquitectura de Computadoras Dr. Andrés David García García Escuela de Diseño, Ingeniería y Arquitectura Departamento de Mecatrónica 1 Microprocesadores y Periféricos Objetivos: Analizar la arquitectura

Más detalles

NOMBRE: SOLUCIONES EJERCICIO 1 1,25 puntos

NOMBRE: SOLUCIONES EJERCICIO 1 1,25 puntos Fundamentos de Electrónica GITI Convocatoria Julio Curso 14/15 NOMBRE: SOLUCIONES EJERCICIO 1 1,25 puntos Se quiere realizar un circuito combinacional que calcule la resta de dos números de dos bits cada

Más detalles

Mapas de Memoria y E/S

Mapas de Memoria y E/S Mapas de Memoria y E/S Sistemas con Microprocesadores http://www.herrera.unt.edu.ar/procesadores Conexiones internas del CPU08 Dentro del mc el CPU08 se vincula con memoria y con los dispositivos de E/S

Más detalles

INTERFACE CON MEMORIA y E/S

INTERFACE CON MEMORIA y E/S Todos los sistemas con procesadores tienen tres canales o buses: Bus de direcciones que proporcionan dirección de memoria al numero de puerto de E/S. Bus de datos que transfiere información entre el procesador

Más detalles

SISTEMAS DIGITALES 3ER EXAMEN. Pendiente Revisión

SISTEMAS DIGITALES 3ER EXAMEN. Pendiente Revisión Autor: ORLANDO HEREDIA (Pendiente Revisión) 1 / 6 SISTEMAS DIGITALES 3ER EXAMEN Pendiente Revisión 1. Represente los siguientes números decimales con signo en: a) Sistema complemento a dos de 5 bits b)

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

Tema 5.- Memorias. ---***---

Tema 5.- Memorias. ---***--- Tema 5.- Memorias. 1. Conceptos básicos generales. 2. Organización de mapas de memorias. 2.1. Objetivos. 2.2. Ejemplo de conexión entre un procesador genérico y la memoria. 2.3. Ejemplo de conexión entre

Más detalles

TEMA 4 ESTRUCTURA VON-NEUMANN DEL COMPUTADOR DIGITAL

TEMA 4 ESTRUCTURA VON-NEUMANN DEL COMPUTADOR DIGITAL TEMA 4 ESTRUCTURA VON-NEUMANN DEL COMPUTADOR DIGITAL 1. ESTRUCTURA GENERAL DE UN COMPUTADOR VON-NEUMANN. Unidad de memoria (UM) Unidad Aritmético Lógica (UAL) Unidad de control (UC) Buses. Unidades de

Más detalles

Conceptos de Arquitectura de Computadoras Curso 2015

Conceptos de Arquitectura de Computadoras Curso 2015 PRACTICA 1 Assembly, Instrucciones, Programas, Subrutinas y Simulador MSX88 Objetivos: que el alumno Domine las instrucciones básicas del lenguaje assembly del MSX88. Utilice los diferentes modos de direccionamiento.

Más detalles

2).Diseñar los circuitos cuyas tablas de estados son las siguientes:

2).Diseñar los circuitos cuyas tablas de estados son las siguientes: EJERCICIOS Tema 7 Ejercicios Síncronos 1) Deduce las tablas de estado que se correponden con los siguientes diagramas de estado. 2).Diseñar los circuitos cuyas tablas de estados son las siguientes: 0 1

Más detalles

Universidad Carlos III de Madrid Grado en Ingeniería Informática Tecnología de Computadores

Universidad Carlos III de Madrid Grado en Ingeniería Informática Tecnología de Computadores Problemas temas 5, 6 y 7: 1) Dado el circuito secuencial de la figura, complete el cronograma, indicando el valor en el tiempo de las salidas de los biestables. 2) Dado el circuito de la figura, rellenar

Más detalles

SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES. Examen Parcial 1

SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES. Examen Parcial 1 DECODIFICADOR SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES 12 febrero de 2018 APELLIDOS NOMBRE GRADO Ejercicio 1 (40 minutos 4 puntos) La siguiente figura muestra un diagrama de bloques simplificado

Más detalles

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs)

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Objetivos: 1.- Conocer la función, características básicas y tipos de memorias. 2.- Conocer la función, características principales y aplicaciones

Más detalles

Problema Nº 1.a2.- Obtenga las siguientes conversiones numéricas. Problema Nº 1.a3.- Obtenga las siguientes conversiones numéricas. 9E36.

Problema Nº 1.a2.- Obtenga las siguientes conversiones numéricas. Problema Nº 1.a3.- Obtenga las siguientes conversiones numéricas. 9E36. Universidad Simón Bolivar EC173 Circuitos Digitales Trimestre: Septiembre_DIC_ 5 PROBLEMARIO Nº 1.- 1.a.- Problemas sistemas númericos Problema Nº 1.a1.- 0. =?. =? ( c) 67.4 =? d 15 C.3 =? Problema Nº

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II Página 1 de 8 Programa de: Electrónica Digital II UNIVERSIDAD NACIONAL DE CÓRDOBA Facultad de Ciencias Exactas, Físicas y Naturales República Argentina Código: 7416 Carrera: Ingeniería

Más detalles

Universidad Carlos III de Madrid Electrónica Digital Ejercicios

Universidad Carlos III de Madrid Electrónica Digital Ejercicios 1. Dado el circuito secuencial de la figura, dibuje un cronograma indicando las formas de onda que se obtendrían en Q0, Q1, Q2 y Q3. Notación: C: Entrada de reloj, activa por flanco de subida S: Entrada

Más detalles

Memorias. Docente: Ing. Víctor Cárdenas Schweiger

Memorias. Docente: Ing. Víctor Cárdenas Schweiger Memorias Docente: Ing. Víctor Cárdenas Schweiger 2016 1 Qué es una memoria RAM? Es la memoria donde se almacenan los datos (programas) con los que se están trabajando en ese momento. Es un dispositivo

Más detalles

Arquitectura de Computadores II Clase #3

Arquitectura de Computadores II Clase #3 Arquitectura de Computadores II Clase #3 Facultad de Ingeniería Universidad de la República Instituto de Computación Curso 2010 Veremos Registros Repertorio de instrucciones Modos de direccionamiento El

Más detalles

Arquitectura de Computadores. Apellidos, Nombre:

Arquitectura de Computadores. Apellidos, Nombre: No se considerarán como válidas las respuestas en las que no se justifiquen los cálculos realizados No se permite el uso de ningún tipo de documentación, ni de calculadora Sólo existe una única opción

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles